Index of /alpine/edge/testing/x86_64
Name
Last modified
Size
Description
Parent Directory
-
ubuntu-archive-keyring-doc-2023.11.28.1-r0.apk
2024-10-25 19:03
2.3K
Ubuntu Linux
ubuntu-archive-keyring-2023.11.28.1-r0.apk
2024-10-25 19:03
16K
Ubuntu Linux
kodi-vfs-sftp-20.2.0-r1.apk
2024-10-25 19:01
53K
Kodi
kodi-vfs-sacd-20.1.0-r1.apk
2024-10-25 19:01
93K
Kodi
kodi-vfs-rar-20.1.0-r1.apk
2024-10-25 19:01
401K
Kodi
kodi-vfs-libarchive-21.0.2-r0.apk
2025-03-02 16:15
111K
Kodi
kodi-pvr-iptvsimple-21.10.2-r0.apk
2025-03-02 16:15
926K
Kodi
kodi-pvr-hts-21.2.6-r0.apk
2025-03-02 16:15
298K
Kodi
kodi-peripheral-joystick-20.1.9-r0.apk
2024-10-25 19:01
215K
Kodi
kodi-inputstream-rtmp-21.1.2-r0.apk
2025-03-02 16:15
87K
Kodi
kodi-inputstream-ffmpegdirect-21.3.7-r0.apk
2025-03-02 16:15
347K
Kodi
kodi-inputstream-adaptive-21.5.9-r0.apk
2025-03-02 16:15
1.0M
Kodi
kodi-game-libretro-theodore-0.0.1.32-r0.apk
2024-10-25 19:01
16K
Kodi
kodi-game-libretro-snes9x-1.62.3.45-r0.apk
2024-10-25 19:01
26K
Kodi
kodi-game-libretro-nestopia-1.52.0.41-r0.apk
2024-10-25 19:01
23K
Kodi
kodi-game-libretro-mupen64plus-nx-2.5.0.40-r0.apk
2024-10-25 19:01
127K
Kodi
kodi-game-libretro-mgba-0.11.0.44-r0.apk
2024-10-25 19:01
19K
Kodi
kodi-game-libretro-mame2003-0.78.0.54-r0.apk
2024-10-25 19:01
1.3M
Kodi
kodi-game-libretro-mame2000-0.37.0.32-r0.apk
2024-10-25 19:01
326K
Kodi
kodi-game-libretro-frodo-0.0.1.25-r0.apk
2024-10-25 19:01
160K
Kodi
kodi-game-libretro-fbneo-1.0.0.71-r0.apk
2024-10-25 19:01
180K
Kodi
kodi-game-libretro-dosbox-0.74.0.25-r0.apk
2024-10-25 19:01
74K
Kodi
kodi-game-libretro-desmume-0.0.1.28-r0.apk
2024-10-25 19:01
64K
Kodi
kodi-game-libretro-beetle-wswan-0.9.35.41-r0.apk
2024-10-25 19:01
18K
Kodi
kodi-game-libretro-beetle-vb-1.31.0.34-r0.apk
2024-10-25 19:01
16K
Kodi
kodi-game-libretro-beetle-supergrafx-1.29.0.39-r0.apk
2024-10-25 19:01
20K
Kodi
kodi-game-libretro-beetle-saturn-1.29.0.40-r0.apk
2024-10-25 19:01
20K
Kodi
kodi-game-libretro-beetle-psx-0.9.44.53-r0.apk
2024-10-25 19:01
64K
Kodi
kodi-game-libretro-beetle-pcfx-0.9.36.36-r0.apk
2024-10-25 19:01
54K
Kodi
kodi-game-libretro-beetle-pce-fast-1.31.0.43-r0.apk
2024-10-25 19:01
21K
Kodi
kodi-game-libretro-beetle-ngp-1.29.0.35-r0.apk
2024-10-25 19:01
17K
Kodi
kodi-game-libretro-beetle-lynx-1.24.0.50-r0.apk
2024-10-25 19:01
15K
Kodi
kodi-game-libretro-beetle-bsnes-0.9.26.27-r0.apk
2024-10-25 19:01
15K
Kodi
kodi-game-libretro-atari800-3.1.0.28-r0.apk
2024-10-25 19:01
241K
Kodi
kodi-game-libretro-20.1.0-r0.apk
2024-10-25 19:01
117K
Kodi
kodi-audioencoder-wav-20.2.0-r1.apk
2024-10-25 19:01
27K
Kodi
kodi-audioencoder-vorbis-20.2.0-r1.apk
2024-10-25 19:01
35K
Kodi
kodi-audioencoder-lame-20.3.0-r1.apk
2024-10-25 19:01
92K
Kodi
kodi-audioencoder-flac-20.2.0-r1.apk
2024-10-25 19:01
43K
Kodi
prometheus-ceph-exporter-openrc-4.2.5-r10.apk
2026-01-17 22:42
1.9K
Ceph
prometheus-ceph-exporter-4.2.5-r10.apk
2026-01-17 22:42
3.8M
Ceph
alpine-lift-0.2.0-r29.apk
2026-01-17 22:42
3.9M
Alpine Linux
zydis-doc-4.1.0-r0.apk
2024-10-25 19:04
1.6M
zydis-dev-4.1.0-r0.apk
2024-10-25 19:04
61K
zydis-4.1.0-r0.apk
2024-10-25 19:04
206K
zycore-doc-1.5.0-r1.apk
2025-07-11 04:50
433K
zycore-dev-1.5.0-r1.apk
2025-07-11 04:50
37K
zycore-1.5.0-r1.apk
2025-07-11 04:50
21K
zvbi-doc-0.2.44-r0.apk
2025-03-12 01:07
21K
zvbi-0.2.44-r0.apk
2025-03-12 01:07
170K
zutty-doc-0.16-r0.apk
2025-01-12 21:00
67K
zutty-0.16-r0.apk
2025-01-12 21:00
149K
zulip-desktop-5.12.2-r0.apk
2025-11-21 10:00
2.4M
zsh-manydots-magic-0_git20230607-r1.apk
2024-10-25 19:04
2.9K
zsh-histdb-skim-0.8.6-r0.apk
2024-10-25 19:04
749K
zrepl-zsh-completion-0.6.1-r17.apk
2026-01-17 22:43
1.9K
zrepl-openrc-0.6.1-r17.apk
2026-01-17 22:43
1.7K
zrepl-bash-completion-0.6.1-r17.apk
2026-01-17 22:43
4.5K
zrepl-0.6.1-r17.apk
2026-01-17 22:43
7.1M
zpaq-doc-7.15-r0.apk
2025-10-09 07:23
16K
zpaq-7.15-r0.apk
2025-10-09 07:23
192K
zot-openrc-2.1.8-r3.apk
2026-01-17 22:43
2.0K
zot-exporter-2.1.8-r3.apk
2026-01-17 22:43
4.1M
zot-doc-2.1.8-r3.apk
2026-01-17 22:43
9.4K
zot-cli-zsh-completion-2.1.8-r3.apk
2026-01-17 22:43
4.0K
zot-cli-fish-completion-2.1.8-r3.apk
2026-01-17 22:43
4.3K
zot-cli-bash-completion-2.1.8-r3.apk
2026-01-17 22:43
6.1K
zot-cli-2.1.8-r3.apk
2026-01-17 22:43
11M
zot-2.1.8-r3.apk
2026-01-17 22:43
78M
zmx-doc-0.2.0-r0.apk
2026-01-01 23:39
2.2K
zmx-0.2.0-r0.apk
2026-01-01 23:39
599K
zita-resampler-doc-1.11.2-r0.apk
2025-04-14 21:16
4.0K
zita-resampler-dev-1.11.2-r0.apk
2025-04-14 21:16
3.3K
zita-resampler-1.11.2-r0.apk
2025-04-14 21:16
18K
zita-njbridge-doc-0.4.8-r1.apk
2024-10-25 19:04
5.2K
zita-njbridge-0.4.8-r1.apk
2024-10-25 19:04
29K
zile-doc-2.6.2-r1.apk
2024-10-25 19:04
16K
zile-2.6.2-r1.apk
2024-10-25 19:04
119K
zfsbootmenu-doc-2.3.0-r1.apk
2024-10-25 19:04
16K
zfsbootmenu-2.3.0-r1.apk
2024-10-25 19:04
128K
zfs-src-2.4.0-r0.apk
2025-12-19 08:50
33M
zelbar-doc-1.2.0-r0.apk
2026-01-24 13:43
3.3K
zelbar-1.2.0-r0.apk
2026-01-24 13:43
155K
zed-0.138.4-r5.apk
2025-03-13 23:56
33M
zarf-0.32.1-r16.apk
2026-01-17 22:43
56M
zarchive-libs-0.1.2-r2.apk
2024-10-25 19:04
23K
zarchive-dev-0.1.2-r2.apk
2024-10-25 19:04
6.8K
zarchive-0.1.2-r2.apk
2024-10-25 19:04
16K
zapzap-pyc-6.0.1.8-r0.apk
2025-04-13 23:48
126K
zapzap-6.0.1.8-r0.apk
2025-04-13 23:48
109K
zafiro-icon-theme-1.3-r0.apk
2024-10-25 19:04
19M
z-doc-1.12-r0.apk
2024-10-25 19:04
3.9K
z-1.12-r0.apk
2024-10-25 19:04
4.6K
yubikey-agent-0.1.6-r18.apk
2026-01-17 22:43
2.0M
ytt-0.52.1-r3.apk
2026-01-17 22:43
4.8M
ytmdl-zsh-completion-2024.08.15.1-r1.apk
2025-05-14 18:16
2.1K
ytmdl-pyc-2024.08.15.1-r1.apk
2025-05-14 18:16
78K
ytmdl-bash-completion-2024.08.15.1-r1.apk
2025-05-14 18:16
2.3K
ytmdl-2024.08.15.1-r1.apk
2025-05-14 18:16
50K
youki-dbg-0.5.7-r0.apk
2026-01-05 20:12
8.2M
youki-0.5.7-r0.apk
2026-01-05 20:12
1.7M
yosys-dev-0.57-r0.apk
2025-10-24 21:50
277K
yosys-0.57-r0.apk
2025-10-24 21:50
24M
yoshimi-doc-2.3.3.3-r0.apk
2025-03-13 22:33
4.5M
yoshimi-2.3.3.3-r0.apk
2025-03-13 22:33
6.1M
yoe-kiosk-browser-0_git20231118-r0.apk
2024-10-25 19:04
9.0K
yices2-libs-2.6.5-r0.apk
2025-03-02 23:05
840K
yices2-dev-2.6.5-r0.apk
2025-03-02 23:05
41K
yices2-2.6.5-r0.apk
2025-03-02 23:05
2.2M
ydcv-zsh-completion-0.7-r8.apk
2024-10-25 19:04
2.2K
ydcv-pyc-0.7-r8.apk
2024-10-25 19:04
11K
ydcv-0.7-r8.apk
2024-10-25 19:04
20K
yass-2.5.0-r0.apk
2024-10-25 19:04
14M
yaru-theme-yellow-25.10.3-r0.apk
2026-01-06 05:46
766K
yaru-theme-wartybrown-25.10.3-r0.apk
2026-01-06 05:46
764K
yaru-theme-viridian-25.10.3-r0.apk
2026-01-06 05:46
760K
yaru-theme-sage-25.10.3-r0.apk
2026-01-06 05:46
763K
yaru-theme-red-25.10.3-r0.apk
2026-01-06 05:46
760K
yaru-theme-purple-25.10.3-r0.apk
2026-01-06 05:46
757K
yaru-theme-prussiangreen-25.10.3-r0.apk
2026-01-06 05:46
760K
yaru-theme-olive-25.10.3-r0.apk
2026-01-06 05:46
759K
yaru-theme-mate-25.10.3-r0.apk
2026-01-06 05:46
772K
yaru-theme-magenta-25.10.3-r0.apk
2026-01-06 05:46
762K
yaru-theme-hdpi-25.10.3-r0.apk
2026-01-06 05:46
73K
yaru-theme-blue-25.10.3-r0.apk
2026-01-06 05:46
766K
yaru-theme-bark-25.10.3-r0.apk
2026-01-06 05:46
763K
yaru-theme-25.10.3-r0.apk
2026-01-06 05:46
840K
yaru-sounds-25.10.3-r0.apk
2026-01-06 05:46
1.2M
yaru-shell-25.10.3-r0.apk
2026-01-06 05:46
232K
yaru-schemas-25.10.3-r0.apk
2026-01-06 05:46
1.9K
yaru-icon-theme-yellow-25.10.3-r0.apk
2026-01-06 05:46
1.2M
yaru-icon-theme-wartybrown-25.10.3-r0.apk
2026-01-06 05:46
1.2M
yaru-icon-theme-viridian-25.10.3-r0.apk
2026-01-06 05:46
1.2M
yaru-icon-theme-sage-25.10.3-r0.apk
2026-01-06 05:46
1.2M
yaru-icon-theme-red-25.10.3-r0.apk
2026-01-06 05:46
1.2M
yaru-icon-theme-purple-25.10.3-r0.apk
2026-01-06 05:46
1.2M
yaru-icon-theme-prussiangreen-25.10.3-r0.apk
2026-01-06 05:46
1.2M
yaru-icon-theme-olive-25.10.3-r0.apk
2026-01-06 05:46
1.1M
yaru-icon-theme-mate-25.10.3-r0.apk
2026-01-06 05:46
1.3M
yaru-icon-theme-magenta-25.10.3-r0.apk
2026-01-06 05:46
1.1M
yaru-icon-theme-blue-25.10.3-r0.apk
2026-01-06 05:46
1.2M
yaru-icon-theme-bark-25.10.3-r0.apk
2026-01-06 05:46
1.1M
yaru-icon-theme-25.10.3-r0.apk
2026-01-06 05:46
35M
yaru-gtksourceview-25.10.3-r0.apk
2026-01-06 05:46
5.0K
yaru-common-25.10.3-r0.apk
2026-01-06 05:46
1.3K
yarn-berry-4.9.1-r0.apk
2025-04-15 22:37
1.0M
yamldiff-doc-0.3.0-r4.apk
2026-01-17 22:43
2.2K
yamldiff-0.3.0-r4.apk
2026-01-17 22:43
1.7M
yaml-language-server-doc-1.19.2-r0.apk
2025-10-09 07:23
2.3K
yaml-language-server-1.19.2-r0.apk
2025-10-09 07:23
666K
yamkix-pyc-0.14.0-r0.apk
2025-12-22 19:55
20K
yamkix-0.14.0-r0.apk
2025-12-22 19:55
17K
yaegi-0.16.1-r14.apk
2026-01-17 22:43
7.6M
xwayland-satellite-0.8-r0.apk
2026-01-17 22:43
1.3M
xvile-9.8z_p1-r2.apk
2025-10-06 11:28
808K
xvidtune-doc-1.0.4-r0.apk
2024-10-25 19:04
4.2K
xvidtune-1.0.4-r0.apk
2024-10-25 19:04
17K
xva-img-1.5-r0.apk
2024-10-25 19:04
17K
xtl-0.8.1-r0.apk
2025-10-27 13:49
90K
xtensor-0.27.0-r0.apk
2025-08-25 06:40
270K
xsoldier-doc-1.8-r2.apk
2024-10-25 19:04
2.6K
xsoldier-1.8-r2.apk
2024-10-25 19:04
67K
xsecurelock-doc-1.9.0-r1.apk
2024-10-25 19:04
18K
xsecurelock-1.9.0-r1.apk
2024-10-25 19:04
63K
xsane-lang-0.999-r2.apk
2024-10-25 19:04
440K
xsane-doc-0.999-r2.apk
2024-10-25 19:04
4.3K
xsane-0.999-r2.apk
2024-10-25 19:04
1.5M
xpar-doc-0.7-r0.apk
2025-09-27 14:33
4.3K
xpar-0.7-r0.apk
2025-09-27 14:33
30K
xosview-doc-1.24-r0.apk
2024-10-25 19:04
13K
xosview-1.24-r0.apk
2024-10-25 19:04
133K
xonsh-pyc-0.19.9-r0.apk
2025-10-31 15:15
1.0M
xonsh-0.19.9-r0.apk
2025-10-31 15:15
589K
xone-src-0.5.2-r0.apk
2026-01-19 15:49
58K
xmppipe-0.16.0-r1.apk
2024-10-25 19:04
16K
xmpp-dns-0.2.4-r30.apk
2026-01-17 22:43
2.0M
xmp-doc-4.2.0-r0.apk
2024-10-25 19:04
5.3K
xmp-4.2.0-r0.apk
2024-10-25 19:04
22K
xmoto-lang-0.6.3-r0.apk
2025-10-03 17:02
532K
xmoto-doc-0.6.3-r0.apk
2025-10-03 17:02
5.9K
xmoto-data-0.6.3-r0.apk
2025-10-03 17:02
37M
xmoto-0.6.3-r0.apk
2025-10-03 17:02
2.0M
xml2rfc-pyc-3.28.1-r3.apk
2025-12-18 11:03
408K
xml2rfc-3.28.1-r3.apk
2025-12-18 11:03
352K
xmag-doc-1.0.8-r0.apk
2024-10-25 19:04
4.7K
xmag-1.0.8-r0.apk
2024-10-25 19:04
16K
xload-doc-1.1.4-r0.apk
2024-10-25 19:04
3.2K
xload-1.1.4-r0.apk
2024-10-25 19:04
7.0K
xlhtml-doc-0.5.1-r0.apk
2024-10-25 19:04
2.5K
xlhtml-0.5.1-r0.apk
2024-10-25 19:04
11K
xkb-switch-doc-1.8.5-r1.apk
2025-05-14 18:16
2.1K
xkb-switch-1.8.5-r1.apk
2025-05-14 18:16
18K
xiccd-doc-0.4.1-r0.apk
2026-01-07 00:06
3.5K
xiccd-0.4.1-r0.apk
2026-01-07 00:06
13K
xgalaga-doc-2.1.1.0-r1.apk
2024-10-25 19:04
2.6K
xgalaga-2.1.1.0-r1.apk
2024-10-25 19:04
311K
xfsdump-doc-3.2.0-r0.apk
2025-08-30 20:48
42K
xfsdump-3.2.0-r0.apk
2025-08-30 20:48
380K
xfd-doc-1.1.4-r0.apk
2024-10-25 19:04
4.9K
xfd-1.1.4-r0.apk
2024-10-25 19:04
14K
xfce4-panel-profiles-lang-1.1.1-r1.apk
2025-11-24 12:53
53K
xfce4-panel-profiles-doc-1.1.1-r1.apk
2025-11-24 12:53
20K
xfce4-panel-profiles-1.1.1-r1.apk
2025-11-24 12:53
58K
xfce4-mixer-lang-4.18.1-r2.apk
2024-10-25 19:04
59K
xfce4-mixer-doc-4.18.1-r2.apk
2024-10-25 19:04
2.5K
xfce4-mixer-4.18.1-r2.apk
2024-10-25 19:04
87K
xfce4-hamster-plugin-lang-1.17-r0.apk
2024-10-25 19:04
5.1K
xfce4-hamster-plugin-1.17-r0.apk
2024-10-25 19:04
38K
xf86-video-vboxvideo-doc-1.0.0-r1.apk
2024-10-25 19:04
3.2K
xf86-video-vboxvideo-1.0.0-r1.apk
2024-10-25 19:04
17K
xendmail-doc-0.4.4-r0.apk
2025-08-06 08:51
2.5K
xendmail-0.4.4-r0.apk
2025-08-06 08:51
1.1M
xemu-0.8.74-r0.apk
2025-06-19 00:46
4.3M
xed-python-3.8.4-r0.apk
2025-10-23 07:55
24K
xed-lang-3.8.4-r0.apk
2025-10-23 07:55
2.1M
xed-doc-3.8.4-r0.apk
2025-10-23 07:55
971K
xed-dev-3.8.4-r0.apk
2025-10-23 07:55
14K
xed-3.8.4-r0.apk
2025-10-23 07:55
1.1M
xdg-ninja-0.2.0.2-r0.apk
2024-10-25 19:04
70K
xdg-native-messaging-proxy-systemd-0.1.0-r0.apk
2025-11-27 14:22
1.8K
xdg-native-messaging-proxy-0.1.0-r0.apk
2025-11-27 14:22
21K
xdg-desktop-portal-hyprland-doc-1.3.11-r0.apk
2025-12-14 11:02
2.4K
xdg-desktop-portal-hyprland-1.3.11-r0.apk
2025-12-14 11:02
337K
xcompmgr-doc-1.1.10-r0.apk
2025-06-28 11:25
2.6K
xcompmgr-1.1.10-r0.apk
2025-06-28 11:25
14K
xcape-doc-1.2-r1.apk
2025-05-14 18:16
3.1K
xcape-1.2-r1.apk
2025-05-14 18:16
6.6K
xa-doc-2.4.1-r0.apk
2025-02-25 12:36
17K
xa-2.4.1-r0.apk
2025-02-25 12:36
74K
x11docker-doc-7.6.0-r1.apk
2024-10-25 19:04
9.4K
x11docker-7.6.0-r1.apk
2024-10-25 19:04
113K
wtfutil-0.43.0-r19.apk
2026-01-17 22:43
20M
wsmancli-doc-2.8.0-r0.apk
2025-07-14 14:34
3.7K
wsmancli-2.8.0-r0.apk
2025-07-14 14:34
18K
wshowkeys-1.0-r0.apk
2024-10-25 19:04
15K
wput-doc-0.6.2-r4.apk
2024-10-25 19:04
8.2K
wput-0.6.2-r4.apk
2024-10-25 19:04
39K
wpa_actiond-openrc-1.4-r7.apk
2024-10-25 19:04
2.2K
wpa_actiond-1.4-r7.apk
2024-10-25 19:04
10K
wolfssh-dev-1.4.17-r2.apk
2026-01-03 23:39
171K
wolfssh-1.4.17-r2.apk
2026-01-03 23:39
133K
wol-lang-0.7.1-r3.apk
2024-10-25 19:04
8.2K
wol-doc-0.7.1-r3.apk
2024-10-25 19:04
5.5K
wol-0.7.1-r3.apk
2024-10-25 19:04
25K
wok-pyc-3.0.0-r6.apk
2024-10-25 19:04
119K
wok-lang-3.0.0-r6.apk
2024-10-25 19:04
16K
wok-doc-3.0.0-r6.apk
2024-10-25 19:04
3.7K
wok-3.0.0-r6.apk
2024-10-25 19:04
157K
wmi-client-1.3.16-r5.apk
2024-10-25 19:04
2.4M
wmctrl-doc-1.07-r1.apk
2024-10-25 19:04
5.1K
wmctrl-1.07-r1.apk
2024-10-25 19:04
14K
wlroots0.18-static-0.18.3-r0.apk
2025-11-28 23:54
8.1M
wlroots0.18-dev-0.18.3-r0.apk
2025-11-28 23:54
83K
wlroots0.18-dbg-0.18.3-r0.apk
2025-11-28 23:54
1.5M
wlroots0.18-0.18.3-r0.apk
2025-11-28 23:54
378K
wlroots0.17-dev-0.17.4-r3.apk
2025-08-13 08:04
77K
wlroots0.17-dbg-0.17.4-r3.apk
2025-08-13 08:04
1.4M
wlroots0.17-0.17.4-r3.apk
2025-08-13 08:04
366K
wlr-sunclock-1.2.1-r0.apk
2025-12-01 14:08
44K
wlclock-doc-1.0.1-r0.apk
2024-10-25 19:04
3.3K
wlclock-1.0.1-r0.apk
2024-10-25 19:04
17K
wlavu-0_git20201101-r1.apk
2024-10-25 19:04
12K
wl-screenrec-zsh-completion-0.1.7-r1.apk
2025-08-27 16:40
3.7K
wl-screenrec-fish-completion-0.1.7-r1.apk
2025-08-27 16:40
3.2K
wl-screenrec-doc-0.1.7-r1.apk
2025-08-27 16:40
9.5K
wl-screenrec-bash-completion-0.1.7-r1.apk
2025-08-27 16:40
2.4K
wl-screenrec-0.1.7-r1.apk
2025-08-27 16:40
538K
wl-gammarelay-0.1.3-r5.apk
2026-01-17 22:43
1.7M
wl-clipboard-x11-doc-5-r3.apk
2024-10-25 19:04
2.9K
wl-clipboard-x11-5-r3.apk
2024-10-25 19:04
3.4K
wl-clip-persist-0.5.0-r0.apk
2025-10-26 12:28
932K
wk-adblock-doc-0.0.4-r5.apk
2024-10-25 19:04
2.1K
wk-adblock-0.0.4-r5.apk
2024-10-25 19:04
166K
witchery-0.0.3-r2.apk
2024-10-25 19:04
3.2K
wiremix-doc-0.7.0-r0.apk
2025-08-22 12:01
9.4K
wiremix-0.7.0-r0.apk
2025-08-22 12:01
788K
wiremapper-0.10.0-r0.apk
2024-10-25 19:04
22K
winetricks-doc-20250102-r0.apk
2025-02-05 22:22
3.7K
winetricks-bash-completion-20250102-r0.apk
2025-02-05 22:22
8.6K
winetricks-20250102-r0.apk
2025-02-05 22:22
172K
wine-staging-doc-11.1-r0.apk
2026-01-25 18:46
43K
wine-staging-dev-11.1-r0.apk
2026-01-25 18:46
13M
wine-staging-11.1-r0.apk
2026-01-25 18:46
131M
wine-mono-10.4.1-r0.apk
2026-01-07 00:06
81M
wiki-tui-doc-0.9.1-r0.apk
2025-11-02 18:10
4.5K
wiki-tui-0.9.1-r0.apk
2025-11-02 18:10
2.1M
wiimms-szs-tools-doc-2.26a-r0.apk
2024-10-25 19:04
50K
wiimms-szs-tools-2.26a-r0.apk
2024-10-25 19:04
5.0M
wiimms-iso-tools-doc-3.04a-r1.apk
2024-10-25 19:04
65K
wiimms-iso-tools-3.04a-r1.apk
2024-10-25 19:04
3.6M
wifitui-doc-0.10.0-r0.apk
2026-01-26 14:22
2.2K
wifitui-0.10.0-r0.apk
2026-01-26 14:22
2.2M
whipper-pyc-0.10.0-r5.apk
2024-10-25 19:04
185K
whipper-0.10.0-r5.apk
2024-10-25 19:04
113K
whatsie-doc-4.16.3-r0.apk
2025-01-12 13:59
2.2K
whatsie-4.16.3-r0.apk
2025-01-12 13:59
15M
wgcf-zsh-completion-2.2.29-r2.apk
2026-01-17 22:43
4.0K
wgcf-fish-completion-2.2.29-r2.apk
2026-01-17 22:43
4.3K
wgcf-bash-completion-2.2.29-r2.apk
2026-01-17 22:43
6.1K
wgcf-2.2.29-r2.apk
2026-01-17 22:43
4.8M
wf-shell-doc-0.9.0-r0.apk
2025-02-27 20:17
3.1K
wf-shell-dev-0.9.0-r0.apk
2025-02-27 20:17
1.7K
wf-shell-0.9.0-r0.apk
2025-02-27 20:17
6.1M
wf-config-dev-0.9.0-r0.apk
2025-02-27 20:17
16K
wf-config-0.9.0-r0.apk
2025-02-27 20:17
106K
welle-io-doc-2.7-r0.apk
2025-04-07 19:40
4.0K
welle-io-2.7-r0.apk
2025-04-07 19:40
401K
welle-cli-2.7-r0.apk
2025-04-07 19:40
305K
weggli-0.2.4-r1.apk
2024-10-25 19:04
823K
webtunnel-0.0.2-r7.apk
2026-01-17 22:43
3.8M
webhookd-openrc-1.20.2-r6.apk
2026-01-17 22:43
2.2K
webhookd-doc-1.20.2-r6.apk
2026-01-17 22:43
2.3K
webhookd-1.20.2-r6.apk
2026-01-17 22:43
3.4M
wcm-0.9.0-r0.apk
2025-02-27 20:17
366K
wch-isp-udev-rules-0.4.1-r2.apk
2024-10-25 19:04
1.6K
wch-isp-doc-0.4.1-r2.apk
2024-10-25 19:04
2.7K
wch-isp-0.4.1-r2.apk
2024-10-25 19:04
10K
wbg-1.3.0-r1.apk
2025-11-01 15:12
40K
wazero-1.9.0-r8.apk
2026-01-17 22:43
2.1M
wayqt-dev-0.3.0-r1.apk
2025-08-21 07:47
18K
wayqt-0.3.0-r1.apk
2025-08-21 07:47
134K
wayprompt-doc-0.1.2-r2.apk
2025-05-24 02:16
6.1K
wayprompt-0.1.2-r2.apk
2025-05-24 02:16
469K
waynergy-0.0.17-r1.apk
2025-09-12 22:22
46K
waylevel-1.0.0-r1.apk
2024-10-25 19:04
303K
wayfire-plugins-extra-0.9.0-r0.apk
2025-02-27 20:17
544K
wayfire-doc-0.9.0-r0.apk
2025-02-27 20:17
3.6K
wayfire-dev-0.9.0-r0.apk
2025-02-27 20:17
129K
wayfire-0.9.0-r0.apk
2025-02-27 20:17
2.4M
wayfarer-1.4.0-r0.apk
2025-11-07 17:28
63K
way-secure-doc-0.2.0-r0.apk
2025-04-18 22:14
3.0K
way-secure-0.2.0-r0.apk
2025-04-18 22:14
196K
way-displays-doc-1.15.0-r0.apk
2025-09-30 09:47
4.6K
way-displays-1.15.0-r0.apk
2025-09-30 09:47
109K
watershot-0.2.0-r0.apk
2024-10-25 19:04
1.7M
watchdog-doc-5.16-r2.apk
2024-10-25 19:04
14K
watchdog-5.16-r2.apk
2024-10-25 19:04
42K
watchbind-doc-0.2.1-r1.apk
2024-10-25 19:04
6.6K
watchbind-0.2.1-r1.apk
2024-10-25 19:04
1.1M
wasmtime-dev-39.0.1-r0.apk
2025-12-08 21:02
114K
wasmtime-39.0.1-r0.apk
2025-12-08 21:02
9.2M
warzone2100-lang-4.5.5-r5.apk
2025-12-20 15:24
2.6M
warzone2100-doc-4.5.5-r5.apk
2025-12-20 15:24
1.5M
warzone2100-data-4.5.5-r5.apk
2025-12-20 15:24
328M
warzone2100-4.5.5-r5.apk
2025-12-20 15:23
6.5M
warpinator-nemo-2.0.3-r0.apk
2026-01-18 19:14
4.6K
warpinator-lang-2.0.3-r0.apk
2026-01-18 19:14
273K
warpinator-2.0.3-r0.apk
2026-01-18 19:14
225K
warp-s3-1.4.0-r0.apk
2026-01-22 03:09
8.6M
walk-sor-doc-0_git20190920-r1.apk
2024-10-25 19:03
7.8K
walk-sor-0_git20190920-r1.apk
2024-10-25 19:03
5.5K
walk-doc-1.13.0-r9.apk
2026-01-17 22:43
2.2K
walk-1.13.0-r9.apk
2026-01-17 22:43
3.2M
wakeonlan-doc-0.42-r0.apk
2024-10-25 19:03
7.5K
wakeonlan-0.42-r0.apk
2024-10-25 19:03
4.5K
waifu2x-converter-cpp-5.3.4-r9.apk
2025-07-07 07:43
12M
wabt-doc-1.0.37-r0.apk
2025-04-06 21:41
13K
wabt-1.0.37-r0.apk
2025-04-06 21:41
4.0M
w_scan2-doc-1.0.17-r0.apk
2025-06-11 17:37
4.2K
w_scan2-1.0.17-r0.apk
2025-06-11 17:37
133K
vym-doc-2.9.26-r0.apk
2024-10-25 19:03
3.4M
vym-2.9.26-r0.apk
2024-10-25 19:03
2.8M
vscodium-zsh-completion-1.106.37943-r0.apk
2025-12-21 19:11
2.7K
vscodium-bash-completion-1.106.37943-r0.apk
2025-12-21 19:11
2.2K
vscodium-1.106.37943-r0.apk
2025-12-21 19:11
29M
volumeicon-lang-0.5.1-r1.apk
2024-10-25 19:03
3.8K
volumeicon-0.5.1-r1.apk
2024-10-25 19:03
43K
volatility3-pyc-2.26.2-r0.apk
2025-11-21 03:24
1.3M
volatility3-2.26.2-r0.apk
2025-11-21 03:24
1.0M
voikko-fi-2.5-r0.apk
2024-10-25 19:03
1.6M
vmtouch-doc-1.3.1-r0.apk
2024-10-25 19:03
8.0K
vmtouch-1.3.1-r0.apk
2024-10-25 19:03
12K
vmlinux.h-6.18-r0.apk
2025-12-16 22:34
248K
vlang-0.4.11-r0.apk
2025-06-21 13:57
30M
vkbasalt-doc-0.3.2.10-r0.apk
2024-10-25 19:03
3.1K
vkbasalt-0.3.2.10-r0.apk
2024-10-25 19:03
404K
vit-pyc-2.3.2-r1.apk
2024-10-25 19:03
151K
vit-2.3.2-r1.apk
2024-10-25 19:03
80K
visidata-zsh-completion-3.3-r0.apk
2025-09-15 13:56
9.4K
visidata-pyc-3.3-r0.apk
2025-09-15 13:56
846K
visidata-doc-3.3-r0.apk
2025-09-15 13:56
18K
visidata-3.3-r0.apk
2025-09-15 13:56
425K
virtualgl-doc-3.1.4-r0.apk
2025-10-09 07:23
315K
virtualgl-dev-3.1.4-r0.apk
2025-10-09 07:23
6.1K
virtualgl-3.1.4-r0.apk
2025-10-09 07:23
1.9M
virter-zsh-completion-0.29.0-r6.apk
2026-01-17 22:43
4.1K
virter-fish-completion-0.29.0-r6.apk
2026-01-17 22:43
4.3K
virter-doc-0.29.0-r6.apk
2026-01-17 22:43
15K
virter-bash-completion-0.29.0-r6.apk
2026-01-17 22:43
6.1K
virter-0.29.0-r6.apk
2026-01-17 22:43
6.0M
virtctl-zsh-completion-1.6.2-r2.apk
2026-01-17 22:43
4.0K
virtctl-fish-completion-1.6.2-r2.apk
2026-01-17 22:43
4.3K
virtctl-bash-completion-1.6.2-r2.apk
2026-01-17 22:43
5.1K
virtctl-1.6.2-r2.apk
2026-01-17 22:43
16M
vimv-doc-3.1.0-r0.apk
2025-10-12 21:21
2.0K
vimv-3.1.0-r0.apk
2025-10-12 21:21
250K
vim-rust-305-r1.apk
2025-08-21 07:55
20K
vim-airline-doc-0.11-r0.apk
2024-10-25 19:03
12K
vim-airline-0.11-r0.apk
2024-10-25 19:03
86K
vile-doc-9.8z_p1-r2.apk
2025-10-06 11:28
357K
vile-common-9.8z_p1-r2.apk
2025-10-06 11:28
354K
vile-9.8z_p1-r2.apk
2025-10-06 11:28
780K
viewnior-lang-1.8-r1.apk
2024-10-25 19:03
85K
viewnior-doc-1.8-r1.apk
2024-10-25 19:03
2.1K
viewnior-1.8-r1.apk
2024-10-25 19:03
72K
video-trimmer-lang-25.03-r0.apk
2025-06-30 13:45
93K
video-trimmer-25.03-r0.apk
2025-06-30 13:45
372K
vidcutter-pyc-6.0.5.3-r0.apk
2024-10-25 19:03
1.9M
vidcutter-doc-6.0.5.3-r0.apk
2024-10-25 19:03
24K
vidcutter-6.0.5.3-r0.apk
2024-10-25 19:03
2.8M
victoria-logs-vlogscli-1.41.1-r1.apk
2026-01-17 22:43
3.3M
victoria-logs-vlagent-1.41.1-r1.apk
2026-01-17 22:43
4.0M
victoria-logs-openrc-1.41.1-r1.apk
2026-01-17 22:43
2.4K
victoria-logs-1.41.1-r1.apk
2026-01-17 22:43
5.5M
vice-doc-3.9-r3.apk
2026-01-09 20:19
2.2M
vice-3.9-r3.apk
2026-01-09 20:19
13M
vfd-configurations-0_git20230612-r0.apk
2024-10-25 19:03
25K
vera++-1.3.0-r11.apk
2025-10-12 14:25
186K
vectoroids-doc-1.1.0-r2.apk
2024-10-25 19:03
2.3K
vectoroids-1.1.0-r2.apk
2024-10-25 19:03
282K
vector-openrc-0.50.0-r0.apk
2025-10-05 19:20
2.2K
vector-doc-0.50.0-r0.apk
2025-10-05 19:20
5.8K
vector-0.50.0-r0.apk
2025-10-05 19:20
24M
vcstool-zsh-completion-0.3.0-r5.apk
2024-10-25 19:03
1.7K
vcstool-tcsh-completion-0.3.0-r5.apk
2024-10-25 19:03
1.6K
vcstool-pyc-0.3.0-r5.apk
2024-10-25 19:03
58K
vcstool-bash-completion-0.3.0-r5.apk
2024-10-25 19:03
1.8K
vcstool-0.3.0-r5.apk
2024-10-25 19:03
35K
vcsh-zsh-completion-2.0.5-r0.apk
2024-10-25 19:03
2.9K
vcsh-doc-2.0.5-r0.apk
2024-10-25 19:03
27K
vcsh-bash-completion-2.0.5-r0.apk
2024-10-25 19:03
2.9K
vcsh-2.0.5-r0.apk
2024-10-25 19:03
8.8K
vcmi-1.7.1-r0.apk
2025-12-31 07:51
13M
vcdimager-doc-2.0.1-r5.apk
2025-01-22 10:33
74K
vcdimager-dev-2.0.1-r5.apk
2025-01-22 10:33
119K
vcdimager-2.0.1-r5.apk
2025-01-22 10:33
475K
varnish-modules-doc-0.26.0-r0.apk
2025-07-06 19:16
22K
varnish-modules-0.26.0-r0.apk
2025-07-06 19:16
40K
vals-0.42.4-r2.apk
2026-01-17 22:43
38M
vali-dev-0.1.1-r0.apk
2026-01-25 18:59
4.3K
vali-0.1.1-r0.apk
2026-01-25 18:59
30K
valent-libs-1.0.0_alpha49-r0.apk
2025-12-24 18:31
133K
valent-lang-1.0.0_alpha49-r0.apk
2025-12-24 18:31
39K
valent-dev-1.0.0_alpha49-r0.apk
2025-12-24 18:31
94K
valent-1.0.0_alpha49-r0.apk
2025-12-24 18:31
347K
uxn-doc-1.0-r0.apk
2024-10-25 19:03
4.2K
uxn-1.0-r0.apk
2024-10-25 19:03
40K
uucp-doc-1.07-r6.apk
2024-10-25 19:03
118K
uucp-1.07-r6.apk
2024-10-25 19:03
487K
ustr-static-1.0.4-r1.apk
2024-10-25 19:03
165K
ustr-doc-1.0.4-r1.apk
2024-10-25 19:03
97K
ustr-dev-1.0.4-r1.apk
2024-10-25 19:03
91K
ustr-debug-1.0.4-r1.apk
2024-10-25 19:03
78K
ustr-1.0.4-r1.apk
2024-10-25 19:03
60K
usql-0.19.26-r2.apk
2026-01-17 22:43
43M
usbtop-1.0-r0.apk
2025-04-13 21:32
13K
usb-moded-notify-systemd-0.3.0-r0.apk
2025-12-28 18:16
1.8K
usb-moded-notify-0.3.0-r0.apk
2025-12-28 18:16
3.1K
urlwatch-pyc-2.28-r2.apk
2024-10-25 19:03
101K
urlwatch-doc-2.28-r2.apk
2024-10-25 19:03
33K
urlwatch-2.28-r2.apk
2024-10-25 19:03
49K
uranium-5.2.2-r3.apk
2024-10-25 19:03
596K
upterm-zsh-completion-0.17.0-r2.apk
2026-01-17 22:43
4.0K
upterm-server-openrc-0.17.0-r2.apk
2026-01-17 22:43
2.0K
upterm-server-0.17.0-r2.apk
2026-01-17 22:43
7.0M
upterm-doc-0.17.0-r2.apk
2026-01-17 22:43
7.1K
upterm-bash-completion-0.17.0-r2.apk
2026-01-17 22:43
5.6K
upterm-0.17.0-r2.apk
2026-01-17 22:43
7.4M
update-sysfs-2.1.1_p7-r0.apk
2025-11-12 10:44
3.1K
up-0.4-r35.apk
2026-01-17 22:43
1.3M
unit-php82-1.35.0-r0.apk
2025-10-24 14:48
32K
unit-php81-1.35.0-r1.apk
2025-09-15 15:03
32K
unicorn-dev-2.1.4-r0.apk
2025-10-14 14:38
8.6M
unicorn-2.1.4-r0.apk
2025-10-14 14:38
7.3M
undock-0.10.0-r6.apk
2026-01-17 22:43
11M
ufw-docker-doc-251123-r0.apk
2026-01-04 00:59
14K
ufw-docker-251123-r0.apk
2026-01-04 00:59
9.6K
ueberzug-pyc-18.3.1-r0.apk
2025-02-22 15:08
64K
ueberzug-18.3.1-r0.apk
2025-02-22 15:08
64K
udpt-openrc-3.1.2-r0.apk
2024-10-25 19:03
1.8K
udpt-3.1.2-r0.apk
2024-10-25 19:03
695K
uclient-fetch-20251003-r0.apk
2026-01-05 19:39
9.8K
uclient-dev-20251003-r0.apk
2026-01-05 19:39
3.5K
uclient-20251003-r0.apk
2026-01-05 19:39
17K
ubus-dev-2025.10.17-r0.apk
2025-10-25 12:57
5.6K
ubus-2025.10.17-r0.apk
2025-10-25 12:57
34K
ubase-doc-20200605-r3.apk
2024-10-25 19:03
21K
ubase-20200605-r3.apk
2024-10-25 19:03
43K
uasm-2.56.2-r0.apk
2024-10-25 19:03
271K
u1db-qt-0.1.8-r0.apk
2024-10-25 19:03
95K
typstyle-0.12.14-r0.apk
2025-01-12 14:14
505K
typobuster-1.0.0-r0.apk
2025-04-23 22:26
129K
typlite-0.14.2-r0.apk
2025-11-22 16:11
15M
ty-zsh-completion-0.0.4-r0.apk
2025-12-21 18:50
3.5K
ty-pyc-0.0.4-r0.apk
2025-12-21 18:50
3.9K
ty-fish-completion-0.0.4-r0.apk
2025-12-21 18:50
3.2K
ty-bash-completion-0.0.4-r0.apk
2025-12-21 18:50
2.6K
ty-0.0.4-r0.apk
2025-12-21 18:50
6.6M
twinkle-doc-1.10.3-r3.apk
2025-01-04 21:55
3.5K
twinkle-1.10.3-r3.apk
2025-01-04 21:55
2.4M
twiggy-0.6.0-r3.apk
2024-10-25 19:03
796K
twemproxy-doc-0.5.0-r0.apk
2024-10-25 19:03
17K
twemproxy-0.5.0-r0.apk
2024-10-25 19:03
66K
tuwunel-openrc-1.4.9.1-r0.apk
2026-01-01 13:22
2.0K
tuwunel-1.4.9.1-r0.apk
2026-01-01 13:22
14M
turntable-lang-0.5.1-r0.apk
2026-01-07 00:06
27K
turntable-0.5.1-r0.apk
2026-01-07 00:06
248K
turnstile-openrc-0.1.10-r3.apk
2024-10-25 19:03
1.8K
turnstile-doc-0.1.10-r3.apk
2024-10-25 19:03
5.7K
turnstile-0.1.10-r3.apk
2024-10-25 19:03
37K
turn-rs-openrc-3.4.0-r1.apk
2025-06-12 13:59
2.0K
turn-rs-doc-3.4.0-r1.apk
2025-06-12 13:59
11K
turn-rs-3.4.0-r1.apk
2025-06-12 13:59
568K
tuptime-openrc-5.2.4-r2.apk
2025-09-06 16:20
1.8K
tuptime-doc-5.2.4-r2.apk
2025-09-06 16:20
3.8K
tuptime-5.2.4-r2.apk
2025-09-06 16:20
14K
tup-vim-0.7.11-r1.apk
2025-06-19 08:45
2.5K
tup-doc-0.7.11-r1.apk
2025-06-19 08:45
21K
tup-0.7.11-r1.apk
2025-06-19 08:45
230K
tuios-doc-0.6.0-r0.apk
2026-01-26 13:42
7.7K
tuios-0.6.0-r0.apk
2026-01-26 13:42
4.1M
tui-journal-doc-0.10.0-r0.apk
2024-10-25 19:03
6.9K
tui-journal-0.10.0-r0.apk
2024-10-25 19:03
1.9M
ttyper-1.6.0-r0.apk
2025-02-05 21:45
596K
ttynvt-0.17-r0.apk
2025-08-22 12:50
14K
tty-share-2.4.0-r24.apk
2026-01-17 22:43
3.9M
tty-proxy-0.0.2-r34.apk
2026-01-17 22:43
2.7M
tty-clock-doc-2.3_git20240104-r0.apk
2024-10-25 19:03
3.1K
tty-clock-2.3_git20240104-r0.apk
2024-10-25 19:03
8.3K
ttfautohint-libs-1.8.4-r0.apk
2024-10-25 19:03
102K
ttfautohint-gui-1.8.4-r0.apk
2024-10-25 19:03
57K
ttfautohint-doc-1.8.4-r0.apk
2024-10-25 19:03
8.1K
ttfautohint-dev-1.8.4-r0.apk
2024-10-25 19:03
153K
ttfautohint-1.8.4-r0.apk
2024-10-25 19:03
27K
tsung-1.8.0-r3.apk
2025-06-13 05:39
722K
trivy-0.68.2-r1.apk
2026-01-17 22:43
69M
trippy-zsh-completion-0.13.0-r0.apk
2025-05-18 23:20
4.8K
trippy-bash-completion-0.13.0-r0.apk
2025-05-18 23:20
3.2K
trippy-0.13.0-r0.apk
2025-05-18 23:20
2.0M
trigger-rally-doc-0.6.7-r3.apk
2025-03-25 15:13
28K
trigger-rally-data-0.6.7-r3.apk
2025-03-25 15:13
352M
trigger-rally-0.6.7-r3.apk
2025-03-25 15:13
302K
tremc-zsh-completion-0.9.4-r0.apk
2025-07-16 04:23
1.8K
tremc-doc-0.9.4-r0.apk
2025-07-16 04:23
2.8K
tremc-bash-completion-0.9.4-r0.apk
2025-07-16 04:23
1.9K
tremc-0.9.4-r0.apk
2025-07-16 04:23
53K
treecat-doc-1.0.2_git20240706-r1.apk
2024-11-29 13:19
3.3K
treecat-1.0.2_git20240706-r1.apk
2024-11-29 13:19
112K
tree-sitter-typst-0.11.0-r1.apk
2026-01-04 16:20
107K
tree-sitter-pascal-doc-0.9.1-r0.apk
2024-10-25 19:03
2.3K
tree-sitter-pascal-0.9.1-r0.apk
2024-10-25 19:03
82K
tree-sitter-make-1.1.1-r0.apk
2026-01-03 20:54
38K
tree-sitter-just-0_git20230318-r0.apk
2024-10-25 19:03
14K
tree-sitter-hcl-1.2.0-r0.apk
2025-06-19 00:46
22K
tree-sitter-haskell-0.23.1-r0.apk
2025-01-09 16:06
284K
tree-sitter-hare-0_git20230616-r2.apk
2025-07-22 21:29
32K
tree-sitter-gleam-1.1.0-r0.apk
2025-10-09 07:23
63K
tree-sitter-git-rebase-0_git20240722-r0.apk
2025-03-11 11:19
5.1K
tree-sitter-git-diff-0_git20230730-r1.apk
2025-07-25 22:58
9.8K
tree-sitter-git-commit-0_git20211225-r4.apk
2025-07-25 22:58
13K
tree-sitter-dart-0_git20250228-r0.apk
2025-03-11 11:19
99K
tree-sitter-clojure-0.0.13-r0.apk
2025-07-23 11:59
23K
tree-sitter-caddy-doc-0_git20230322-r0.apk
2024-10-25 19:03
2.3K
tree-sitter-caddy-0_git20230322-r0.apk
2024-10-25 19:03
70K
tre-static-0.8.0-r2.apk
2024-10-25 19:03
28K
tre-dev-0.8.0-r2.apk
2024-10-25 19:03
5.2K
tre-0.8.0-r2.apk
2024-10-25 19:03
27K
trantor-doc-1.5.18-r0.apk
2024-10-25 19:03
2.6K
trantor-dev-1.5.18-r0.apk
2024-10-25 19:03
34K
trantor-1.5.18-r0.apk
2024-10-25 19:03
229K
transmission-remote-gtk-lang-1.6.0-r0.apk
2024-10-25 19:03
106K
transmission-remote-gtk-doc-1.6.0-r0.apk
2024-10-25 19:03
4.2K
transmission-remote-gtk-1.6.0-r0.apk
2024-10-25 19:03
145K
transito-doc-0.10.0-r1.apk
2026-01-17 22:43
756K
transito-0.10.0-r1.apk
2026-01-17 22:43
8.8M
trace-cmd-doc-3.3.1-r1.apk
2025-01-19 14:15
171K
trace-cmd-dbg-3.3.1-r1.apk
2025-01-19 14:15
508K
trace-cmd-bash-completion-3.3.1-r1.apk
2025-01-19 14:15
3.3K
trace-cmd-3.3.1-r1.apk
2025-01-19 14:15
164K
tqm-1.18.0-r1.apk
2026-01-17 22:43
4.9M
tpp-bypass-0.8.4-r0.apk
2024-10-25 19:03
13K
tpm2-pkcs11-pyc-1.9.2-r0.apk
2025-12-13 16:07
70K
tpm2-pkcs11-dev-1.9.2-r0.apk
2025-12-13 16:07
1.9K
tpm2-pkcs11-1.9.2-r0.apk
2025-12-13 16:07
130K
toybox-0.8.13-r0.apk
2025-10-16 10:30
235K
touchpad-emulator-0.3-r0.apk
2025-05-26 09:33
13K
toss-1.1-r1.apk
2025-05-29 12:00
11K
torrent-file-editor-0.3.18-r0.apk
2024-10-25 19:03
364K
topgit-doc-0.19.13-r1.apk
2024-10-25 19:03
73K
topgit-bash-completion-0.19.13-r1.apk
2024-10-25 19:03
4.0K
topgit-0.19.13-r1.apk
2024-10-25 19:03
127K
tonutils-reverse-proxy-doc-0.4.6-r5.apk
2026-01-17 22:43
3.2K
tonutils-reverse-proxy-0.4.6-r5.apk
2026-01-17 22:43
4.5M
toml2json-doc-1.3.2-r0.apk
2025-09-01 13:13
3.7K
toml2json-1.3.2-r0.apk
2025-09-01 13:13
348K
tomcat9-openrc-9.0.112-r0.apk
2025-12-01 20:49
4.6K
tomcat9-examples-9.0.112-r0.apk
2025-12-01 20:49
439K
tomcat9-doc-9.0.112-r0.apk
2025-12-01 20:49
1.7M
tomcat9-admin-9.0.112-r0.apk
2025-12-01 20:49
127K
tomcat9-9.0.112-r0.apk
2025-12-01 20:49
6.8M
tofutf-server-openrc-0.10.0-r11.apk
2026-01-17 22:43
2.0K
tofutf-server-0.10.0-r11.apk
2026-01-17 22:43
14M
tofutf-cli-0.10.0-r11.apk
2026-01-17 22:43
8.8M
tofutf-agent-openrc-0.10.0-r11.apk
2026-01-17 22:43
2.0K
tofutf-agent-0.10.0-r11.apk
2026-01-17 22:43
9.5M
tofutf-0.10.0-r11.apk
2026-01-17 22:43
1.3K
tofu-ls-doc-0.2.0-r2.apk
2026-01-17 22:43
35K
tofu-ls-0.2.0-r2.apk
2026-01-17 22:43
6.1M
today-doc-6.2.1-r0.apk
2025-06-17 15:29
3.3K
today-6.2.1-r0.apk
2025-06-17 15:29
3.2K
toapk-1.0-r0.apk
2024-10-25 19:03
11K
tnef-doc-1.4.18-r0.apk
2024-10-25 19:03
4.2K
tnef-1.4.18-r0.apk
2024-10-25 19:03
25K
tncattach-doc-0.1.9-r1.apk
2024-10-25 19:03
3.9K
tncattach-0.1.9-r1.apk
2024-10-25 19:03
22K
tmux-resurrect-doc-4.0.0-r0.apk
2024-10-25 19:03
8.4K
tmux-resurrect-4.0.0-r0.apk
2024-10-25 19:03
14K
tmpmail-doc-1.2.3-r2.apk
2024-10-25 19:03
3.2K
tmpmail-1.2.3-r2.apk
2024-10-25 19:03
7.0K
tmpl-doc-0.4.0-r17.apk
2026-01-17 22:43
2.3K
tmpl-0.4.0-r17.apk
2026-01-17 22:43
2.8M
tmate-doc-2.4.0-r4.apk
2024-10-25 19:03
72K
tmate-2.4.0-r4.apk
2024-10-25 19:03
270K
tldr-python-client-pyc-3.3.0-r0.apk
2024-12-01 17:09
14K
tldr-python-client-doc-3.3.0-r0.apk
2024-12-01 17:09
3.5K
tldr-python-client-3.3.0-r0.apk
2024-12-01 17:09
12K
tiptop-doc-2.3.1-r2.apk
2024-10-25 19:03
7.1K
tiptop-2.3.1-r2.apk
2024-10-25 19:03
34K
tinyscheme-1.42-r1.apk
2024-10-25 19:03
58K
tinymist-0.14.2-r0.apk
2025-11-22 16:11
20M
tinygltf-dev-2.9.7-r0.apk
2025-11-02 20:50
57K
tinygltf-2.9.7-r0.apk
2025-11-02 20:50
143K
tinyemu-2019.12.21-r0.apk
2025-05-25 23:35
158K
tintin-2.02.51-r0.apk
2025-11-24 12:41
1.8M
timoni-zsh-completion-0.23.0-r11.apk
2026-01-17 22:43
4.0K
timoni-fish-completion-0.23.0-r11.apk
2026-01-17 22:43
4.3K
timoni-doc-0.23.0-r11.apk
2026-01-17 22:43
338K
timoni-bash-completion-0.23.0-r11.apk
2026-01-17 22:43
8.0K
timoni-0.23.0-r11.apk
2026-01-17 22:43
24M
timewarrior-doc-1.7.1-r0.apk
2024-10-25 19:03
22K
timewarrior-1.7.1-r0.apk
2024-10-25 19:03
262K
timew-doc-1.4.3-r1.apk
2024-10-25 19:03
53K
timew-bash-completion-1.4.3-r1.apk
2024-10-25 19:03
2.8K
timew-1.4.3-r1.apk
2024-10-25 19:03
252K
timeshift-lang-25.12.4-r0.apk
2026-01-09 16:03
1.0M
timeshift-doc-25.12.4-r0.apk
2026-01-09 16:03
3.2K
timeshift-25.12.4-r0.apk
2026-01-09 16:03
471K
ticker-zsh-completion-5.0.7-r8.apk
2026-01-17 22:43
4.1K
ticker-fish-completion-5.0.7-r8.apk
2026-01-17 22:43
4.3K
ticker-bash-completion-5.0.7-r8.apk
2026-01-17 22:43
6.1K
ticker-5.0.7-r8.apk
2026-01-17 22:43
3.7M
tick-doc-1.2.3-r0.apk
2025-10-06 06:21
5.5K
tick-1.2.3-r0.apk
2025-10-06 06:21
10K
thunarx-python-doc-0.5.2-r2.apk
2024-10-25 19:03
25K
thunarx-python-0.5.2-r2.apk
2024-10-25 19:03
9.3K
thunar-gtkhash-plugin-1.5-r0.apk
2024-10-25 19:03
23K
thumbdrives-0.3.2-r2.apk
2024-10-25 19:03
11K
throttled-pyc-0.10.0-r1.apk
2024-12-15 19:29
28K
throttled-openrc-0.10.0-r1.apk
2024-12-15 19:29
1.6K
throttled-0.10.0-r1.apk
2024-12-15 19:29
15K
thermald-openrc-2.15.10-r0.apk
2025-10-23 08:12
1.8K
thermald-doc-2.15.10-r0.apk
2025-10-23 08:12
8.7K
thermald-2.15.10-r0.apk
2025-10-23 08:12
172K
theme.sh-doc-1.1.5-r0.apk
2024-10-25 19:03
2.3K
theme.sh-1.1.5-r0.apk
2024-10-25 19:03
39K
thelounge-openrc-4.4.3-r0.apk
2024-10-25 19:03
2.0K
thelounge-doc-4.4.3-r0.apk
2024-10-25 19:03
2.3K
thelounge-4.4.3-r0.apk
2024-10-25 19:03
28M
thefuck-pyc-3.32-r5.apk
2024-10-25 19:03
156K
thefuck-3.32-r5.apk
2024-10-25 19:03
83K
theforceengine-doc-1.09.540-r2.apk
2026-01-09 20:19
6.3M
theforceengine-1.09.540-r2.apk
2026-01-09 20:19
6.9M
thanos-openrc-0.31.0-r19.apk
2026-01-17 22:43
1.9K
thanos-0.31.0-r19.apk
2026-01-17 22:43
22M
tfupdate-doc-0.8.2-r12.apk
2026-01-17 22:43
2.3K
tfupdate-0.8.2-r12.apk
2026-01-17 22:43
5.1M
texstudio-4.9.1-r0.apk
2025-12-15 21:29
83M
texmaker-6.0.1-r0.apk
2025-06-27 10:32
12M
texlab-5.25.0-r0.apk
2025-12-30 21:14
8.7M
tetragon-client-zsh-completion-1.1.2-r11.apk
2026-01-17 22:43
4.0K
tetragon-client-fish-completion-1.1.2-r11.apk
2026-01-17 22:43
4.3K
tetragon-client-bash-completion-1.1.2-r11.apk
2026-01-17 22:43
5.1K
tetragon-client-1.1.2-r11.apk
2026-01-17 22:43
21M
termusic-mpv-0.12.0-r0.apk
2025-10-13 01:41
9.8M
terminology-lang-1.14.0-r0.apk
2025-05-27 22:49
143K
terminology-doc-1.14.0-r0.apk
2025-05-27 22:49
9.0K
terminology-1.14.0-r0.apk
2025-05-27 22:49
2.7M
terminalpp-ropen-0.8.4-r0.apk
2024-10-25 19:03
56K
terminalpp-0.8.4-r0.apk
2024-10-25 19:03
411K
termcolor-dev-2.1.0-r0.apk
2024-10-25 19:03
6.8K
termcolor-2.1.0-r0.apk
2024-10-25 19:03
1.5K
termbox-static-1.1.2-r1.apk
2024-10-25 19:03
12K
termbox-dev-1.1.2-r1.apk
2024-10-25 19:03
5.7K
termbox-1.1.2-r1.apk
2024-10-25 19:03
11K
tere-doc-1.6.0-r0.apk
2024-10-25 19:03
14K
tere-1.6.0-r0.apk
2024-10-25 19:03
1.0M
tenv-zsh-completion-4.9.0-r2.apk
2026-01-17 22:43
4.0K
tenv-fish-completion-4.9.0-r2.apk
2026-01-17 22:43
4.3K
tenv-bash-completion-4.9.0-r2.apk
2026-01-17 22:43
6.1K
tenv-4.9.0-r2.apk
2026-01-17 22:43
10M
tempo-vulture-openrc-2.10.0-r0.apk
2026-01-27 11:38
2.0K
tempo-vulture-2.10.0-r0.apk
2026-01-27 11:38
19M
tempo-query-2.10.0-r0.apk
2026-01-27 11:38
7.0M
tempo-openrc-2.10.0-r0.apk
2026-01-27 11:38
1.9K
tempo-cli-2.10.0-r0.apk
2026-01-27 11:38
24M
tempo-2.10.0-r0.apk
2026-01-27 11:38
33M
templ-0.3.960-r2.apk
2026-01-17 22:43
5.6M
telegram-tdlib-static-1.8.60-r0.apk
2026-01-15 17:21
22M
telegram-tdlib-dev-1.8.60-r0.apk
2026-01-15 17:21
204K
telegram-tdlib-1.8.60-r0.apk
2026-01-15 17:21
7.7M
telegram-bot-api-9.3-r0.apk
2026-01-15 14:17
7.6M
teapot-tools-0.4.2-r2.apk
2024-10-25 19:03
2.0M
tealdeer-zsh-completion-1.8.0-r0.apk
2025-10-28 01:54
2.4K
tealdeer-fish-completion-1.8.0-r0.apk
2025-10-28 01:54
2.3K
tealdeer-bash-completion-1.8.0-r0.apk
2025-10-28 01:54
2.0K
tealdeer-1.8.0-r0.apk
2025-10-28 01:54
811K
tdrop-doc-0.5.0-r0.apk
2024-10-25 19:03
8.9K
tdrop-0.5.0-r0.apk
2024-10-25 19:03
12K
tcpbench-doc-3.00-r1.apk
2025-09-12 22:22
5.3K
tcpbench-3.00-r1.apk
2025-09-12 22:22
12K
tcmu-runner-rbd-1.6.0-r6.apk
2024-10-25 19:03
12K
tcmu-runner-doc-1.6.0-r6.apk
2024-10-25 19:03
2.5K
tcmu-runner-1.6.0-r6.apk
2024-10-25 19:03
82K
tcmalloc-profiler-2.17-r0.apk
2025-08-05 13:00
80K
tcmalloc-minimal-debug-2.17-r0.apk
2025-08-05 13:00
102K
tcmalloc-minimal-2.17-r0.apk
2025-08-05 13:00
55K
tcmalloc-debug-2.17-r0.apk
2025-08-05 13:00
115K
tcmalloc-2.17-r0.apk
2025-08-05 13:00
72K
tcl-curl-doc-7.22.0-r0.apk
2024-10-25 19:03
38K
tcl-curl-7.22.0-r0.apk
2024-10-25 19:03
32K
tayga-doc-0.9.6-r0.apk
2026-01-04 14:16
6.0K
tayga-0.9.6-r0.apk
2026-01-04 14:16
29K
tauri-cli-2.4.0-r0.apk
2025-04-03 00:12
7.3M
taskwarrior-tui-fish-completion-0.26.3-r0.apk
2025-03-26 10:24
1.7K
taskwarrior-tui-doc-0.26.3-r0.apk
2025-03-26 10:24
3.9K
taskwarrior-tui-bash-completion-0.26.3-r0.apk
2025-03-26 10:24
2.0K
taskwarrior-tui-0.26.3-r0.apk
2025-03-26 10:24
1.2M
taskcafe-openrc-0.3.6-r19.apk
2026-01-17 22:43
1.8K
taskcafe-0.3.6-r19.apk
2026-01-17 22:43
14M
tartube-pyc-2.5.0-r2.apk
2025-12-09 12:12
1.1M
tartube-2.5.0-r2.apk
2025-12-09 12:12
2.7M
tanka-0.35.0-r2.apk
2026-01-17 22:43
7.5M
tanidvr-dhav2mkv-1.4.1-r2.apk
2025-03-21 16:21
11K
tanidvr-1.4.1-r2.apk
2025-03-21 16:21
21K
tangctl-0_git20241007-r10.apk
2026-01-17 22:43
2.8M
tang-openrc-15-r0.apk
2025-01-20 04:17
1.9K
tang-doc-15-r0.apk
2025-01-20 04:17
21K
tang-dbg-15-r0.apk
2025-01-20 04:17
32K
tang-15-r0.apk
2025-01-20 04:17
15K
tailspin-zsh-completion-5.5.0-r0.apk
2025-10-28 06:57
2.5K
tailspin-fish-completion-5.5.0-r0.apk
2025-10-28 06:57
2.1K
tailspin-doc-5.5.0-r0.apk
2025-10-28 06:57
3.0K
tailspin-bash-completion-5.5.0-r0.apk
2025-10-28 06:57
2.2K
tailspin-5.5.0-r0.apk
2025-10-28 06:57
1.1M
tachyon-scenes-0.99_beta6-r2.apk
2025-12-10 18:29
1.9M
tachyon-0.99_beta6-r2.apk
2025-12-10 18:29
102K
tabiew-0.11.0-r0.apk
2025-07-30 07:46
13M
t2sz-1.1.2-r0.apk
2024-10-25 19:03
8.8K
syncwhen-systemd-0.3-r0.apk
2025-11-01 17:26
1.8K
syncwhen-0.3-r0.apk
2025-11-01 17:26
5.3K
syncthing-gtk-pyc-0.9.4.5-r2.apk
2024-10-25 19:03
221K
syncthing-gtk-doc-0.9.4.5-r2.apk
2024-10-25 19:03
2.2K
syncthing-gtk-0.9.4.5-r2.apk
2024-10-25 19:03
440K
synapse-bt-openrc-1.0-r4.apk
2024-10-25 19:03
1.8K
synapse-bt-cli-1.0-r4.apk
2024-10-25 19:03
1.0M
synapse-bt-1.0-r4.apk
2024-10-25 19:03
1.1M
symlinks-doc-1.4.3-r0.apk
2025-04-21 21:27
3.8K
symlinks-1.4.3-r0.apk
2025-04-21 21:27
5.8K
symengine-0.12.0-r0.apk
2024-10-25 19:03
2.9M
symbiyosys-0.36-r0.apk
2024-10-25 19:03
38K
sydbox-vim-3.45.2-r0.apk
2025-12-03 02:46
7.2K
sydbox-utils-3.45.2-r0.apk
2025-12-03 02:46
6.3M
sydbox-test-3.45.2-r0.apk
2025-12-03 02:46
1.8M
sydbox-syd-3.45.2-r0.apk
2025-12-03 02:46
2.0M
sydbox-oci-3.45.2-r0.apk
2025-12-03 02:46
3.0M
sydbox-doc-3.45.2-r0.apk
2025-12-03 02:46
165K
sydbox-3.45.2-r0.apk
2025-12-03 02:46
4.6M
syd-tui-0.2.2-r0.apk
2025-12-07 23:40
393K
sxcs-doc-1.1.0-r0.apk
2024-10-25 19:03
2.6K
sxcs-1.1.0-r0.apk
2024-10-25 19:03
8.1K
swi-prolog-xpce-doc-9.2.9-r0.apk
2024-12-21 10:09
1.0M
swi-prolog-xpce-9.2.9-r0.apk
2024-12-21 10:09
922K
swi-prolog-pyc-9.2.9-r0.apk
2024-12-21 10:09
22K
swi-prolog-doc-9.2.9-r0.apk
2024-12-21 10:09
2.1M
swi-prolog-9.2.9-r0.apk
2024-12-21 10:09
4.7M
swhkd-doc-1.2.1-r0.apk
2024-10-25 19:03
6.2K
swhkd-1.2.1-r0.apk
2024-10-25 19:03
1.1M
swayhide-0.2.1-r2.apk
2024-10-25 19:03
255K
sway-audio-idle-inhibit-0.1.2-r0.apk
2024-10-25 19:03
9.8K
swappy-lang-1.7.1-r0.apk
2025-08-21 23:29
3.8K
swappy-doc-1.7.1-r0.apk
2025-08-21 23:29
3.8K
swappy-1.7.1-r0.apk
2025-08-21 23:29
28K
swaks-doc-20240103.0-r0.apk
2024-10-25 19:03
50K
swaks-20240103.0-r0.apk
2024-10-25 19:03
66K
svt-vp9-libs-0.3.1-r0.apk
2025-12-20 22:51
590K
svt-vp9-doc-0.3.1-r0.apk
2025-12-20 22:51
4.7K
svt-vp9-dev-0.3.1-r0.apk
2025-12-20 22:51
7.3K
svt-vp9-0.3.1-r0.apk
2025-12-20 22:51
16K
svt-hevc-libs-1.5.1-r2.apk
2024-10-25 19:03
733K
svt-hevc-doc-1.5.1-r2.apk
2024-10-25 19:03
5.0K
svt-hevc-dev-1.5.1-r2.apk
2024-10-25 19:03
10K
svt-hevc-1.5.1-r2.apk
2024-10-25 19:03
31K
svls-doc-0.2.14-r0.apk
2025-12-13 02:58
2.2K
svls-0.2.14-r0.apk
2025-12-13 02:58
3.4M
svgbob-0.7.6-r0.apk
2025-02-02 00:32
452K
suru-icon-theme-2025.05.0-r0.apk
2025-05-10 03:12
2.9M
surfraw-doc-2.3.0-r0.apk
2024-10-25 19:03
18K
surfraw-2.3.0-r0.apk
2024-10-25 19:03
79K
surf-doc-2.1-r3.apk
2024-10-25 19:03
4.6K
surf-2.1-r3.apk
2024-10-25 19:03
21K
supersonik-0.1.0-r3.apk
2025-12-04 22:48
1.1M
supermin-doc-5.2.2-r2.apk
2024-10-25 19:03
9.4K
supermin-5.2.2-r2.apk
2024-10-25 19:03
507K
supercollider-dev-3.14.1-r0.apk
2026-01-09 16:03
40K
supercollider-3.14.1-r0.apk
2026-01-09 16:03
8.3M
sudo-ldap-1.9.17_p1-r0.apk
2025-07-01 18:58
807K
subtitleeditor-doc-0.55.0-r0.apk
2025-11-20 22:18
2.9K
subtitleeditor-dev-0.55.0-r0.apk
2025-11-20 22:18
1.6K
subtitleeditor-0.55.0-r0.apk
2025-11-20 22:18
1.6M
subliminal-pyc-2.4.0-r0.apk
2025-11-24 01:06
179K
subliminal-2.4.0-r0.apk
2025-11-24 01:06
90K
sublime-music-pyc-0.12.0-r1.apk
2024-10-25 19:03
302K
sublime-music-0.12.0-r1.apk
2024-10-25 19:03
190K
stw-doc-0.3-r0.apk
2024-10-25 19:03
2.5K
stw-0.3-r0.apk
2024-10-25 19:03
8.1K
sturmreader-lang-3.7.2-r2.apk
2025-09-06 16:20
39K
sturmreader-3.7.2-r2.apk
2025-09-06 16:20
1.0M
stone-soup-0.33.1-r0.apk
2025-12-26 14:50
30M
stockfish-17-r0.apk
2025-03-03 22:40
62M
sthttpd-openrc-2.27.1-r2.apk
2024-10-25 19:03
2.0K
sthttpd-doc-2.27.1-r2.apk
2024-10-25 19:03
18K
sthttpd-2.27.1-r2.apk
2024-10-25 19:03
57K
stgit-zsh-completion-2.4.7-r1.apk
2024-10-25 19:03
24K
stgit-vim-2.4.7-r1.apk
2024-10-25 19:03
3.5K
stgit-fish-completion-2.4.7-r1.apk
2024-10-25 19:03
12K
stgit-emacs-2.4.7-r1.apk
2024-10-25 19:03
28K
stgit-doc-2.4.7-r1.apk
2024-10-25 19:03
126K
stgit-bash-completion-2.4.7-r1.apk
2024-10-25 19:03
17K
stgit-2.4.7-r1.apk
2024-10-25 19:03
1.9M
stern-zsh-completion-1.33.0-r2.apk
2026-01-17 22:42
4.0K
stern-fish-completion-1.33.0-r2.apk
2026-01-17 22:42
4.3K
stern-bash-completion-1.33.0-r2.apk
2026-01-17 22:42
5.8K
stern-1.33.0-r2.apk
2026-01-17 22:42
19M
steghide-doc-0.5.1.1-r0.apk
2024-10-25 19:03
14K
steghide-0.5.1.1-r0.apk
2024-10-25 19:03
146K
steamguard-cli-zsh-completion-0.17.1-r0.apk
2025-07-10 22:02
4.2K
steamguard-cli-bash-completion-0.17.1-r0.apk
2025-07-10 22:02
2.9K
steamguard-cli-0.17.1-r0.apk
2025-07-10 22:02
3.2M
stayrtr-openrc-0.6.3-r2.apk
2026-01-17 22:42
2.0K
stayrtr-0.6.3-r2.apk
2026-01-17 22:42
11M
startup-tools-2.0.3-r5.apk
2024-10-25 19:03
13K
startup-lang-2.0.3-r5.apk
2024-10-25 19:03
17K
startup-fish-completion-2.0.3-r5.apk
2024-10-25 19:03
5.4K
startup-doc-2.0.3-r5.apk
2024-10-25 19:03
48K
startup-dev-2.0.3-r5.apk
2024-10-25 19:03
5.8K
startup-bridge-udev-2.0.3-r5.apk
2024-10-25 19:03
32K
startup-bridge-dconf-2.0.3-r5.apk
2024-10-25 19:03
32K
startup-2.0.3-r5.apk
2024-10-25 19:03
442K
starfighter-doc-2.4-r0.apk
2024-10-25 19:03
22K
starfighter-2.4-r0.apk
2024-10-25 19:03
48M
stam-0.12.2-r0.apk
2025-09-22 12:09
1.6M
stalwart-mail-openrc-0.15.4-r3.apk
2026-01-22 03:09
2.1K
stalwart-mail-0.15.4-r3.apk
2026-01-22 03:09
18M
stalwart-cli-0.15.4-r3.apk
2026-01-22 03:09
2.2M
stacker-doc-1.1.3-r2.apk
2026-01-17 22:42
16K
stacker-1.1.3-r2.apk
2026-01-17 22:42
30M
sstp-client-doc-1.0.20-r3.apk
2025-10-24 14:48
4.9K
sstp-client-dev-1.0.20-r3.apk
2025-10-24 14:48
5.2K
sstp-client-1.0.20-r3.apk
2025-10-24 14:48
38K
ssss-doc-0.5.7-r0.apk
2024-10-25 19:03
3.3K
ssss-0.5.7-r0.apk
2024-10-25 19:03
12K
sssd-openrc-2.11.1-r2.apk
2025-11-20 22:18
1.7K
sssd-dev-2.11.1-r2.apk
2025-11-20 22:18
15K
sssd-2.11.1-r2.apk
2025-11-20 22:18
2.1M
sshuttle-pyc-1.1.2-r0.apk
2024-10-25 19:03
101K
sshuttle-doc-1.1.2-r0.apk
2024-10-25 19:03
8.5K
sshuttle-1.1.2-r0.apk
2024-10-25 19:03
62K
sshsrv-1.0-r18.apk
2026-01-17 22:42
1.0M
sshs-4.7.2-r0.apk
2025-04-23 22:26
719K
sshm-doc-1.10.0-r1.apk
2026-01-17 22:42
2.2K
sshm-1.10.0-r1.apk
2026-01-17 22:42
4.1M
ssh-tools-1.8-r0.apk
2024-10-25 19:03
26K
ssh-studio-pyc-1.3.1-r0.apk
2025-10-12 20:35
107K
ssh-studio-lang-1.3.1-r0.apk
2025-10-12 20:35
1.9K
ssh-studio-1.3.1-r0.apk
2025-10-12 20:35
202K
ssh-honeypot-openrc-0.1.1-r1.apk
2024-10-25 19:03
2.1K
ssh-honeypot-0.1.1-r1.apk
2024-10-25 19:03
8.9K
ssh-get-id-doc-0.2.0-r1.apk
2026-01-17 22:42
2.3K
ssh-get-id-0.2.0-r1.apk
2026-01-17 22:42
2.5M
ssdfs-tools-dev-4.09-r0.apk
2024-10-25 19:03
18K
ssdfs-tools-4.09-r0.apk
2024-10-25 19:03
94K
sregex-dev-0.0.1-r1.apk
2024-10-25 19:03
30K
sregex-0.0.1-r1.apk
2024-10-25 19:03
25K
srb2-data-2.2.15-r2.apk
2025-12-20 15:23
160M
srb2-2.2.15-r2.apk
2025-12-20 15:23
1.8M
srain-lang-1.8.1-r0.apk
2025-06-27 10:32
35K
srain-1.8.1-r0.apk
2025-06-27 10:32
161K
squeak-vm-doc-4.10.2.2614-r2.apk
2025-10-09 12:30
12K
squeak-vm-4.10.2.2614-r2.apk
2025-10-09 12:30
581K
sqruff-doc-0.25.26-r0.apk
2025-04-13 21:40
8.6K
sqruff-0.25.26-r0.apk
2025-04-13 21:40
2.2M
sqm-scripts-1.6.0-r0.apk
2024-10-25 19:03
20K
sqlmap-pyc-1.10-r0.apk
2026-01-12 14:00
1.2M
sqlmap-1.10-r0.apk
2026-01-12 14:00
6.8M
sqliteodbc-0.99991-r0.apk
2024-10-25 19:03
87K
sqlar-doc-0_git20180107-r1.apk
2024-10-25 19:03
3.3K
sqlar-0_git20180107-r1.apk
2024-10-25 19:03
13K
spreadtrum_flash-1.20240815-r0.apk
2025-05-10 03:12
36K
spread-sheet-widget-doc-0.10-r0.apk
2024-10-25 19:03
4.6K
spread-sheet-widget-dev-0.10-r0.apk
2024-10-25 19:03
363K
spread-sheet-widget-dbg-0.10-r0.apk
2024-10-25 19:03
193K
spread-sheet-widget-0.10-r0.apk
2024-10-25 19:03
44K
spotify-tui-0.25.0-r2.apk
2024-10-25 19:03
1.8M
spotify-player-0.20.4-r0.apk
2025-03-02 15:55
4.4M
sponskrub-3.7.2-r9.apk
2025-06-07 09:13
184K
spnavcfg-1.3-r0.apk
2026-01-09 23:44
38K
splitter-doc-0.4.1-r1.apk
2025-07-15 04:09
3.8K
splitter-0.4.1-r1.apk
2025-07-15 04:09
456K
spiped-1.6.4-r0.apk
2025-12-04 22:48
82K
spike-1.1.0-r0.apk
2024-10-25 19:03
1.5M
spice-html5-0.3.0-r1.apk
2024-10-25 19:03
438K
speedtest_exporter-openrc-0.3.2-r21.apk
2026-01-17 22:42
1.9K
speedtest_exporter-0.3.2-r21.apk
2026-01-17 22:42
4.1M
speedtest-go-openrc-1.1.5-r21.apk
2026-01-17 22:42
1.7K
speedtest-go-doc-1.1.5-r21.apk
2026-01-17 22:42
4.5K
speedtest-go-1.1.5-r21.apk
2026-01-17 22:42
5.7M
speedtest-examples-5.2.5-r1.apk
2024-10-25 19:03
13K
speedtest-doc-5.2.5-r1.apk
2024-10-25 19:03
18K
speedtest-5.2.5-r1.apk
2024-10-25 19:03
253K
speedcrunch-0.12-r3.apk
2024-10-25 19:03
1.1M
spark-2.8.3-r1.apk
2024-10-25 19:03
29M
spacenavd-1.3.1-r0.apk
2026-01-09 16:03
31K
spacectl-zsh-completion-1.12.0-r7.apk
2026-01-17 22:42
1.8K
spacectl-fish-completion-1.12.0-r7.apk
2026-01-17 22:42
7.1K
spacectl-doc-1.12.0-r7.apk
2026-01-17 22:42
2.3K
spacectl-bash-completion-1.12.0-r7.apk
2026-01-17 22:42
2.0K
spacectl-1.12.0-r7.apk
2026-01-17 22:42
6.0M
sourcegit-8.33-r0.apk
2024-10-25 19:03
26M
soundconverter-pyc-4.1.1-r0.apk
2025-07-29 13:12
77K
soundconverter-lang-4.1.1-r0.apk
2025-07-29 13:12
107K
soundconverter-doc-4.1.1-r0.apk
2025-07-29 13:12
4.7K
soundconverter-4.1.1-r0.apk
2025-07-29 13:12
165K
sos-0.8-r37.apk
2026-01-17 22:42
2.9M
sopwith-doc-2.5.0-r0.apk
2024-10-25 19:03
15K
sopwith-2.5.0-r0.apk
2024-10-25 19:03
47K
sonicradio-0.8.12-r1.apk
2026-01-17 22:42
4.6M
sonarr-openrc-4.0.16.2944-r0.apk
2025-11-17 14:44
2.0K
sonarr-4.0.16.2944-r0.apk
2025-11-17 14:44
28M
sonar-scanner-7.1.0.4889-r0.apk
2025-05-04 23:13
11M
somebar-doc-1.0.3-r0.apk
2024-10-25 19:03
2.4K
somebar-1.0.3-r0.apk
2024-10-25 19:03
43K
solarus-quest-editor-1.7.0-r1.apk
2025-02-22 14:39
55M
solarus-engine-doc-1.7.0-r2.apk
2025-07-20 19:28
3.3K
solarus-engine-1.7.0-r2.apk
2025-07-20 19:28
2.1M
solanum-lang-6.0.0-r0.apk
2025-04-10 13:52
47K
solanum-6.0.0-r0.apk
2025-04-10 13:52
249K
soapy-bladerf-0.4.2-r0.apk
2024-12-31 10:53
47K
so-0.4.10-r0.apk
2024-10-25 19:03
2.0M
snore-doc-0.3.1-r0.apk
2024-10-25 19:03
3.1K
snore-0.3.1-r0.apk
2024-10-25 19:03
4.4K
snippets-ls-0.0.4_git20240617-r11.apk
2026-01-17 22:42
1.4M
snapweb-0.9.2-r0.apk
2025-10-06 21:15
476K
snapraid-doc-13.0-r0.apk
2025-11-01 00:21
19K
snapraid-13.0-r0.apk
2025-11-01 00:21
284K
snapper-zsh-completion-0.13.0-r0.apk
2025-09-16 18:45
3.6K
snapper-lang-0.13.0-r0.apk
2025-09-16 18:45
219K
snapper-doc-0.13.0-r0.apk
2025-09-16 18:45
25K
snapper-dev-0.13.0-r0.apk
2025-09-16 18:45
11K
snapper-bash-completion-0.13.0-r0.apk
2025-09-16 18:45
3.4K
snapper-0.13.0-r0.apk
2025-09-16 18:45
951K
smplxmpp-doc-0.9.3-r5.apk
2025-10-12 12:09
25K
smplxmpp-0.9.3-r5.apk
2025-10-12 12:09
146K
smile-lang-2.11.0-r0.apk
2025-12-21 11:40
28K
smile-2.11.0-r0.apk
2025-12-21 11:40
873K
smb4k-doc-4.0.5-r0.apk
2026-01-11 02:37
4.6M
smb4k-4.0.5-r0.apk
2026-01-11 02:37
1.7M
smassh-pyc-3.1.6-r0.apk
2024-11-21 02:57
70K
smassh-3.1.6-r0.apk
2024-11-21 02:57
72K
sly-1.0.0-r4.apk
2025-11-16 17:55
4.0M
slurm-doc-0.4.4-r0.apk
2024-10-25 19:03
2.3K
slurm-0.4.4-r0.apk
2024-10-25 19:03
14K
sloccount-doc-2.26-r3.apk
2024-10-25 19:03
59K
sloccount-2.26-r3.apk
2024-10-25 19:03
62K
sish-openrc-2.16.1-r11.apk
2026-01-17 22:42
1.9K
sish-2.16.1-r11.apk
2026-01-17 22:42
8.3M
siril-lang-1.2.6-r5.apk
2025-09-27 21:15
1.6M
siril-doc-1.2.6-r5.apk
2025-09-27 21:15
18K
siril-1.2.6-r5.apk
2025-09-27 21:15
2.9M
sipgrep-2.2.0-r1.apk
2024-10-25 19:03
25K
sipexer-1.2.0-r9.apk
2026-01-17 22:42
3.0M
simpleiot-0.18.3-r6.apk
2026-01-17 22:42
11M
simpleble-dev-0.10.4-r0.apk
2026-01-13 03:12
30K
simpleble-0.10.4-r0.apk
2026-01-13 03:12
1.2K
simp1e-cursors-zenburn-left-0_git20250312-r0.apk
2025-03-13 12:27
540K
simp1e-cursors-zenburn-0_git20250312-r0.apk
2025-03-13 12:27
529K
simp1e-cursors-tokyo-night-storm-left-0_git20250312-r0.apk
2025-03-13 12:27
546K
simp1e-cursors-tokyo-night-storm-0_git20250312-r0.apk
2025-03-13 12:27
534K
simp1e-cursors-tokyo-night-light-left-0_git20250312-r0.apk
2025-03-13 12:27
527K
simp1e-cursors-tokyo-night-light-0_git20250312-r0.apk
2025-03-13 12:27
520K
simp1e-cursors-tokyo-night-left-0_git20250312-r0.apk
2025-03-13 12:27
541K
simp1e-cursors-tokyo-night-0_git20250312-r0.apk
2025-03-13 12:27
530K
simp1e-cursors-solarized-light-left-0_git20250312-r0.apk
2025-03-13 12:27
542K
simp1e-cursors-solarized-light-0_git20250312-r0.apk
2025-03-13 12:27
531K
simp1e-cursors-solarized-dark-left-0_git20250312-r0.apk
2025-03-13 12:27
535K
simp1e-cursors-solarized-dark-0_git20250312-r0.apk
2025-03-13 12:27
524K
simp1e-cursors-rose-pine-moon-left-0_git20250312-r0.apk
2025-03-13 12:27
550K
simp1e-cursors-rose-pine-moon-0_git20250312-r0.apk
2025-03-13 12:27
538K
simp1e-cursors-rose-pine-left-0_git20250312-r0.apk
2025-03-13 12:27
545K
simp1e-cursors-rose-pine-dawn-left-0_git20250312-r0.apk
2025-03-13 12:27
551K
simp1e-cursors-rose-pine-dawn-0_git20250312-r0.apk
2025-03-13 12:27
538K
simp1e-cursors-rose-pine-0_git20250312-r0.apk
2025-03-13 12:27
533K
simp1e-cursors-nord-light-left-0_git20250312-r0.apk
2025-03-13 12:27
525K
simp1e-cursors-nord-light-0_git20250312-r0.apk
2025-03-13 12:27
518K
simp1e-cursors-nord-dark-left-0_git20250312-r0.apk
2025-03-13 12:27
549K
simp1e-cursors-nord-dark-0_git20250312-r0.apk
2025-03-13 12:27
536K
simp1e-cursors-mix-light-left-0_git20250312-r0.apk
2025-03-13 12:27
451K
simp1e-cursors-mix-light-0_git20250312-r0.apk
2025-03-13 12:27
450K
simp1e-cursors-mix-dark-left-0_git20250312-r0.apk
2025-03-13 12:27
492K
simp1e-cursors-mix-dark-0_git20250312-r0.apk
2025-03-13 12:27
487K
simp1e-cursors-left-0_git20250312-r0.apk
2025-03-13 12:27
449K
simp1e-cursors-gruvbox-light-left-0_git20250312-r0.apk
2025-03-13 12:27
527K
simp1e-cursors-gruvbox-light-0_git20250312-r0.apk
2025-03-13 12:27
517K
simp1e-cursors-gruvbox-dark-left-0_git20250312-r0.apk
2025-03-13 12:27
537K
simp1e-cursors-gruvbox-dark-0_git20250312-r0.apk
2025-03-13 12:27
525K
simp1e-cursors-doc-0_git20250312-r0.apk
2025-03-13 12:27
14K
simp1e-cursors-dark-left-0_git20250312-r0.apk
2025-03-13 12:27
490K
simp1e-cursors-dark-0_git20250312-r0.apk
2025-03-13 12:27
484K
simp1e-cursors-catppuccin-mocha-left-0_git20250312-r0.apk
2025-03-13 12:27
540K
simp1e-cursors-catppuccin-mocha-0_git20250312-r0.apk
2025-03-13 12:27
530K
simp1e-cursors-catppuccin-macchiato-left-0_git20250312-r0.apk
2025-03-13 12:27
544K
simp1e-cursors-catppuccin-macchiato-0_git20250312-r0.apk
2025-03-13 12:27
533K
simp1e-cursors-catppuccin-latte-left-0_git20250312-r0.apk
2025-03-13 12:27
537K
simp1e-cursors-catppuccin-latte-0_git20250312-r0.apk
2025-03-13 12:27
528K
simp1e-cursors-catppuccin-frappe-left-0_git20250312-r0.apk
2025-03-13 12:27
547K
simp1e-cursors-catppuccin-frappe-0_git20250312-r0.apk
2025-03-13 12:27
535K
simp1e-cursors-breeze-left-0_git20250312-r0.apk
2025-03-13 12:27
509K
simp1e-cursors-breeze-dark-left-0_git20250312-r0.apk
2025-03-13 12:27
526K
simp1e-cursors-breeze-dark-0_git20250312-r0.apk
2025-03-13 12:27
520K
simp1e-cursors-breeze-0_git20250312-r0.apk
2025-03-13 12:27
504K
simp1e-cursors-adw-left-0_git20250312-r0.apk
2025-03-13 12:27
483K
simp1e-cursors-adw-dark-left-0_git20250312-r0.apk
2025-03-13 12:27
485K
simp1e-cursors-adw-dark-0_git20250312-r0.apk
2025-03-13 12:27
482K
simp1e-cursors-adw-0_git20250312-r0.apk
2025-03-13 12:27
478K
simp1e-cursors-0_git20250312-r0.apk
2025-03-13 12:27
447K
simh-3.11.1-r1.apk
2024-10-25 19:03
3.0M
simgear-dev-2024.1.1-r0.apk
2025-03-05 00:17
404K
simgear-2024.1.1-r0.apk
2025-03-05 00:17
2.2M
simavr-dev-1.7-r1.apk
2024-10-25 19:03
859K
simavr-1.7-r1.apk
2024-10-25 19:03
94K
silc-client-doc-1.1.11-r18.apk
2025-06-30 08:08
82K
silc-client-1.1.11-r18.apk
2025-06-30 08:08
860K
sigrok-firmware-fx2lafw-bin-0.1.7-r0.apk
2024-10-25 19:03
13K
sigrok-cli-doc-0.7.2-r0.apk
2024-10-25 19:03
8.0K
sigrok-cli-0.7.2-r0.apk
2024-10-25 19:03
39K
signal-desktop-7.85.0-r0.apk
2026-01-17 22:42
47M
sigma-pyc-0.23.1-r1.apk
2024-10-25 19:03
340K
sigma-0.23.1-r1.apk
2024-10-25 19:03
237K
sigil-lang-2.7.0-r0.apk
2025-12-18 03:08
2.6M
sigil-2.7.0-r0.apk
2025-12-18 03:08
4.9M
shutdown-clear-machine-id-1.0.0-r0.apk
2024-10-25 19:03
1.8K
shntool-doc-3.0.10-r6.apk
2026-01-17 22:42
10K
shntool-3.0.10-r6.apk
2026-01-17 22:42
62K
shipments-0.3.0-r0.apk
2024-10-25 19:03
23K
shine-3.1.1-r0.apk
2024-10-25 19:03
54K
shellinabox-openrc-2.21-r3.apk
2024-10-25 19:03
3.5K
shellinabox-doc-2.21-r3.apk
2024-10-25 19:03
19K
shellinabox-2.21-r3.apk
2024-10-25 19:03
118K
shc-4.0.3-r2.apk
2024-10-25 19:03
17K
shadowsocks-libev-doc-3.3.5-r4.apk
2024-10-25 19:03
28K
shadowsocks-libev-dev-3.3.5-r4.apk
2024-10-25 19:03
3.5K
shadowsocks-libev-3.3.5-r4.apk
2024-10-25 19:03
219K
sgt-puzzles-0_git20230310-r2.apk
2024-10-25 19:03
2.6M
sfwbar-doc-1.0_beta161-r0.apk
2025-07-31 13:29
27K
sfwbar-1.0_beta161-r0.apk
2025-07-31 13:29
269K
sflowtool-doc-6.02-r0.apk
2024-10-25 19:03
9.4K
sflowtool-6.02-r0.apk
2024-10-25 19:03
40K
sfizz-doc-1.2.3-r1.apk
2025-10-02 15:30
3.0K
sfizz-dev-1.2.3-r1.apk
2025-10-02 15:30
15K
sfizz-1.2.3-r1.apk
2025-10-02 15:30
1.7M
setroot-doc-2.0.2-r1.apk
2024-10-25 19:03
4.4K
setroot-2.0.2-r1.apk
2024-10-25 19:03
12K
serie-doc-0.5.7-r0.apk
2026-01-20 22:11
8.4K
serie-0.5.7-r0.apk
2026-01-20 22:11
959K
serialdv-libs-1.1.5-r0.apk
2025-10-24 20:31
65K
serialdv-dev-1.1.5-r0.apk
2025-10-24 20:31
5.3K
serialdv-1.1.5-r0.apk
2025-10-24 20:31
6.4K
sentrypeer-doc-4.0.4-r0.apk
2025-03-21 16:21
3.3K
sentrypeer-4.0.4-r0.apk
2025-03-21 16:21
1.9M
sentinel-proxy-openrc-2.1.0-r1.apk
2025-06-13 14:12
2.2K
sentinel-proxy-dev-2.1.0-r1.apk
2025-06-13 14:12
4.4K
sentinel-proxy-2.1.0-r1.apk
2025-06-13 14:12
43K
sentinel-minipot-openrc-2.3.0-r1.apk
2024-10-25 19:03
2.6K
sentinel-minipot-2.3.0-r1.apk
2024-10-25 19:03
45K
semaphoreui-zsh-completion-2.16.37-r2.apk
2026-01-17 22:42
4.0K
semaphoreui-openrc-2.16.37-r2.apk
2026-01-17 22:42
2.1K
semaphoreui-fish-completion-2.16.37-r2.apk
2026-01-17 22:42
4.3K
semaphoreui-doc-2.16.37-r2.apk
2026-01-17 22:42
2.3K
semaphoreui-bash-completion-2.16.37-r2.apk
2026-01-17 22:42
6.1K
semaphoreui-2.16.37-r2.apk
2026-01-17 22:42
16M
sedutil-doc-1.15.1-r1.apk
2024-10-25 19:03
3.1K
sedutil-1.15.1-r1.apk
2024-10-25 19:03
188K
secsipidx-libs-1.3.2-r18.apk
2026-01-17 22:42
2.6M
secsipidx-dev-1.3.2-r18.apk
2026-01-17 22:42
5.1M
secsipidx-1.3.2-r18.apk
2026-01-17 22:42
2.9M
seaweedfs-openrc-3.93-r5.apk
2026-01-17 22:42
1.9K
seaweedfs-doc-3.93-r5.apk
2026-01-17 22:42
14K
seaweedfs-3.93-r5.apk
2026-01-17 22:42
27M
sdrangel-7.22.9-r0.apk
2025-10-24 20:31
70M
sdparm-doc-1.12-r1.apk
2024-10-25 19:03
19K
sdparm-1.12-r1.apk
2024-10-25 19:03
148K
sdl3_image-doc-3.2.6-r0.apk
2026-01-07 10:54
2.1K
sdl3_image-dev-3.2.6-r0.apk
2026-01-07 10:54
12K
sdl3_image-3.2.6-r0.apk
2026-01-07 10:54
75K
sct-2018.12.18-r1.apk
2024-10-25 19:03
3.8K
screenkey-pyc-1.5-r7.apk
2025-07-22 22:16
73K
screenkey-doc-1.5-r7.apk
2025-07-22 22:16
11K
screenkey-1.5-r7.apk
2025-07-22 22:16
76K
scratch-doc-1.4.0.7-r2.apk
2025-10-09 12:30
2.6K
scratch-1.4.0.7-r2.apk
2025-10-09 12:30
39M
scooper-doc-1.3-r1.apk
2024-10-25 19:03
2.6K
scooper-1.3-r1.apk
2024-10-25 19:03
496K
schismtracker-doc-20251014-r0.apk
2025-10-24 23:51
6.3K
schismtracker-20251014-r0.apk
2025-10-24 23:51
450K
scenefx-doc-0.4.1-r0.apk
2025-12-20 23:13
2.3K
scenefx-dev-0.4.1-r0.apk
2025-12-20 23:13
11K
scenefx-0.4.1-r0.apk
2025-12-20 23:13
56K
scap-workbench-doc-1.2.1-r3.apk
2024-10-25 19:03
1.6M
scap-workbench-1.2.1-r3.apk
2024-10-25 19:03
230K
scalingo-1.30.0-r16.apk
2026-01-17 22:42
5.6M
sc3-plugins-3.13.0-r2.apk
2025-02-08 23:48
9.9M
sc-controller-udev-0.5.5-r0.apk
2025-12-14 08:56
2.1K
sc-controller-pyc-0.5.5-r0.apk
2025-12-14 08:56
814K
sc-controller-0.5.5-r0.apk
2025-12-14 08:56
1.3M
sblim-wbemcli-doc-1.6.3-r1.apk
2024-10-25 19:03
4.5K
sblim-wbemcli-1.6.3-r1.apk
2024-10-25 19:03
99K
sblim-sfcc-doc-2.2.8-r3.apk
2024-10-25 19:03
35K
sblim-sfcc-dev-2.2.8-r3.apk
2024-10-25 19:03
22K
sblim-sfcc-2.2.8-r3.apk
2024-10-25 19:03
51K
sblg-doc-0.5.11-r0.apk
2024-10-25 19:03
1.3M
sblg-0.5.11-r0.apk
2024-10-25 19:03
41K
sbase-doc-0_git20210730-r3.apk
2024-10-25 19:03
58K
sbase-0_git20210730-r3.apk
2024-10-25 19:03
118K
sauerbraten-2020.12.29-r4.apk
2025-02-22 14:39
934M
satellite-openrc-1.0.0-r34.apk
2026-01-17 22:42
1.9K
satellite-doc-1.0.0-r34.apk
2026-01-17 22:42
3.0K
satellite-1.0.0-r34.apk
2026-01-17 22:42
2.3M
sandbar-0.2_git20250909-r0.apk
2025-12-21 14:37
14K
sacc-doc-1.07-r1.apk
2025-09-12 22:22
2.9K
sacc-1.07-r1.apk
2025-09-12 22:22
16K
saait-doc-0.8-r0.apk
2024-10-25 19:03
13K
saait-0.8-r0.apk
2024-10-25 19:03
6.8K
s5cmd-2.3.0-r9.apk
2026-01-17 22:42
5.3M
s-postgray-doc-0.8.3-r0.apk
2024-10-25 19:03
9.6K
s-postgray-0.8.3-r0.apk
2024-10-25 19:03
45K
s-dkim-sign-doc-0.6.2-r0.apk
2024-10-25 19:03
8.5K
s-dkim-sign-0.6.2-r0.apk
2024-10-25 19:03
54K
rygel-systemd-45.1-r0.apk
2026-01-05 15:35
1.7K
rygel-lang-45.1-r0.apk
2026-01-05 15:35
591K
rygel-doc-45.1-r0.apk
2026-01-05 15:35
9.7K
rygel-dev-45.1-r0.apk
2026-01-05 15:35
43K
rygel-45.1-r0.apk
2026-01-05 15:35
767K
ry-zsh-completion-0.5.2-r1.apk
2024-10-25 19:03
2.3K
ry-bash-completion-0.5.2-r1.apk
2024-10-25 19:03
2.0K
ry-0.5.2-r1.apk
2024-10-25 19:03
4.6K
rvlprog-0.91-r2.apk
2024-10-25 19:03
28K
ruuvi-prometheus-openrc-0.1.9-r8.apk
2026-01-17 22:42
1.7K
ruuvi-prometheus-0.1.9-r8.apk
2026-01-17 22:42
3.5M
rustscan-2.4.1-r0.apk
2025-12-22 02:54
1.7M
rustls-ffi-dev-0.15.0-r0.apk
2025-12-26 22:37
2.7M
rustls-ffi-0.15.0-r0.apk
2025-12-26 22:37
1.3M
rustical-0.11.11-r0.apk
2026-01-11 13:58
4.5M
rustic-zsh-completion-0.9.3-r0.apk
2024-10-25 19:03
13K
rustic-fish-completion-0.9.3-r0.apk
2024-10-25 19:03
17K
rustic-bash-completion-0.9.3-r0.apk
2024-10-25 19:03
8.6K
rustic-0.9.3-r0.apk
2024-10-25 19:03
6.1M
rustdesk-server-openrc-1.1.10.3-r0.apk
2024-10-25 19:03
2.2K
rustdesk-server-1.1.10.3-r0.apk
2024-10-25 19:03
2.4M
rust-script-0.36.0-r0.apk
2025-09-06 16:20
895K
ruri-doc-3.9.3-r0.apk
2025-10-27 08:46
2.2K
ruri-3.9.3-r0.apk
2025-10-27 08:46
134K
runu-0.12.3-r2.apk
2026-01-17 22:42
20M
runst-doc-0.2.0-r0.apk
2025-11-14 23:27
7.8K
runst-0.2.0-r0.apk
2025-11-14 23:27
1.9M
ruff-lsp-pyc-0.0.62-r0.apk
2025-03-11 11:19
35K
ruff-lsp-0.0.62-r0.apk
2025-03-11 11:19
21K
ruby-ruby-progressbar-1.13.0-r0.apk
2025-07-06 19:16
25K
ruby-path_expander-2.0.1-r0.apk
2026-01-10 05:04
6.7K
ruby-minitest-server-1.0.9-r0.apk
2025-11-21 15:40
5.4K
ruby-minitest-reporters-1.7.1-r0.apk
2025-07-06 19:16
20K
ruby-minitest-proveit-1.0.0-r0.apk
2025-07-06 19:16
4.8K
ruby-minitest-power_assert-0.3.1-r0.apk
2025-07-06 19:16
2.2K
ruby-minitest-focus-1.4.1-r0.apk
2026-01-04 00:58
6.4K
ruby-minitest-autotest-1.2.1-r0.apk
2026-01-10 13:08
14K
ruby-mail-2.9.0-r0.apk
2025-10-24 15:26
394K
ruby-libguestfs-1.56.1-r0.apk
2025-07-22 22:16
106K
ruby-hoe-4.5.1-r0.apk
2026-01-04 00:57
29K
ruby-hashdiff-doc-1.2.1-r0.apk
2025-10-21 04:18
2.2K
ruby-hashdiff-1.2.1-r0.apk
2025-10-21 04:18
9.0K
ruby-fast_gettext-3.1.0-r0.apk
2025-05-25 23:58
22K
ruby-facter-4.10.0-r0.apk
2025-07-28 02:04
218K
ruby-event_emitter-doc-0.2.6-r0.apk
2025-05-26 00:00
2.3K
ruby-event_emitter-0.2.6-r0.apk
2025-05-26 00:00
3.1K
ruby-dry-inflector-doc-1.3.1-r0.apk
2026-01-14 18:51
2.3K
ruby-dry-inflector-1.3.1-r0.apk
2026-01-14 18:51
8.3K
ruby-crack-doc-1.0.1-r0.apk
2025-10-21 04:14
2.2K
ruby-crack-1.0.1-r0.apk
2025-10-21 04:14
2.3K
ruby-coderay-doc-1.1.3-r0.apk
2025-05-25 23:56
2.3K
ruby-coderay-1.1.3-r0.apk
2025-05-25 23:56
89K
ruby-byebug-13.0.0-r0.apk
2026-01-17 22:42
125K
ruby-build-runtime-20250925-r0.apk
2025-09-28 15:45
1.3K
ruby-build-doc-20250925-r0.apk
2025-09-28 15:45
4.8K
ruby-build-20250925-r0.apk
2025-09-28 15:45
93K
ruby-benchmark-ips-doc-2.14.0-r0.apk
2025-05-25 23:54
2.3K
ruby-benchmark-ips-2.14.0-r0.apk
2025-05-25 23:54
14K
ruby-appraisal-doc-2.5.0-r0.apk
2025-05-25 23:52
2.3K
ruby-appraisal-2.5.0-r0.apk
2025-05-25 23:52
11K
ruby-ansi-1.5.0-r0.apk
2025-07-06 19:16
26K
rtw89-src-7_p20230725-r0.apk
2024-10-25 19:03
759K
rtptools-doc-1.22-r2.apk
2024-10-25 19:03
13K
rtptools-1.22-r2.apk
2024-10-25 19:03
28K
rtmidi-dev-6.0.0-r0.apk
2024-10-25 19:03
14K
rtmidi-6.0.0-r0.apk
2024-10-25 19:03
29K
rtl8821ce-src-6.16_git20250820-r0.apk
2025-09-01 07:03
4.3M
rtl8812au-src-5.6.4.2_git20250530-r0.apk
2025-05-31 16:24
2.6M
rtl8192eu-src-5.11.2.3_git20251220-r0.apk
2026-01-10 17:55
2.6M
rtl88x2bu-src-5.13.1_git20230711-r0.apk
2024-10-25 19:03
4.0M
rtl-power-fftw-doc-20200601-r4.apk
2024-10-25 19:03
8.2K
rtl-power-fftw-20200601-r4.apk
2024-10-25 19:03
59K
rt6-6.0.1-r0.apk
2025-08-12 07:48
12M
rt5-5.0.8-r0.apk
2025-06-15 04:44
17M
rsstail-doc-2.2-r0.apk
2025-02-22 14:39
2.8K
rsstail-2.2-r0.apk
2025-02-22 14:39
8.7K
rss-email-doc-0.5.1-r0.apk
2025-08-10 11:21
6.4K
rss-email-0.5.1-r0.apk
2025-08-10 11:21
2.3M
rpi-imager-doc-1.9.0-r1.apk
2025-07-24 08:08
3.1K
rpi-imager-1.9.0-r1.apk
2025-07-24 08:08
699K
rpg-cli-1.2.0-r0.apk
2024-10-25 19:03
586K
rosenpass-0.2.2-r1.apk
2025-02-01 18:23
1.0M
rosdep-pyc-0.19.0-r6.apk
2024-10-25 19:03
119K
rosdep-0.19.0-r6.apk
2024-10-25 19:03
66K
ropgadget-pyc-7.7-r0.apk
2025-12-31 14:52
48K
ropgadget-7.7-r0.apk
2025-12-31 14:52
26K
rollup-doc-4.55.2-r0.apk
2026-01-22 23:42
4.3K
rollup-4.55.2-r0.apk
2026-01-22 23:42
1.0M
roll-doc-2.6.1-r1.apk
2025-10-13 16:13
11K
roll-bash-completion-2.6.1-r1.apk
2025-10-13 16:13
1.8K
roll-2.6.1-r1.apk
2025-10-13 16:13
13K
rofi-pass-doc-2.0.2-r2.apk
2024-10-25 19:03
5.0K
rofi-pass-2.0.2-r2.apk
2024-10-25 19:03
8.8K
rofi-json-menu-0.2.0-r1.apk
2024-10-25 19:03
5.0K
rocm-core-doc-6.4.3-r0.apk
2025-08-18 11:32
2.4K
rocm-core-dev-6.4.3-r0.apk
2025-08-18 11:32
6.6K
rocm-core-6.4.3-r0.apk
2025-08-18 11:32
7.4K
rocm-cmake-doc-6.4.3-r0.apk
2025-08-18 11:32
2.4K
rocm-cmake-6.4.3-r0.apk
2025-08-18 11:32
28K
rmtfs-udev-1.1.1-r2.apk
2026-01-15 05:27
1.7K
rmtfs-systemd-1.1.1-r2.apk
2026-01-15 05:27
1.9K
rmtfs-openrc-1.1.1-r2.apk
2026-01-15 05:27
2.1K
rmtfs-doc-1.1.1-r2.apk
2026-01-15 05:27
2.4K
rmtfs-1.1.1-r2.apk
2026-01-15 05:27
13K
rmlint-shredder-pyc-2.10.2-r2.apk
2024-10-25 19:03
124K
rmlint-shredder-2.10.2-r2.apk
2024-10-25 19:03
96K
rmlint-lang-2.10.2-r2.apk
2024-10-25 19:03
19K
rmlint-doc-2.10.2-r2.apk
2024-10-25 19:03
18K
rmlint-2.10.2-r2.apk
2024-10-25 19:03
141K
rke-doc-1.4.3-r21.apk
2026-01-17 22:42
3.0K
rke-1.4.3-r21.apk
2026-01-17 22:42
21M
rizin-libs-0.8.1-r0.apk
2025-06-30 16:49
5.2M
rizin-doc-0.8.1-r0.apk
2025-06-30 16:49
19K
rizin-dev-0.8.1-r0.apk
2025-06-30 16:49
323K
rizin-cutter-dev-2.4.1-r1.apk
2026-01-07 00:06
103K
rizin-cutter-2.4.1-r1.apk
2026-01-07 00:06
2.6M
rizin-0.8.1-r0.apk
2025-06-30 16:49
2.7M
rivercarro-doc-0.6.0-r0.apk
2025-10-06 21:15
3.1K
rivercarro-0.6.0-r0.apk
2025-10-06 21:15
152K
river-shifttags-doc-0.2.1-r1.apk
2025-05-14 00:10
2.4K
river-shifttags-0.2.1-r1.apk
2025-05-14 00:10
6.0K
river-luatile-0.1.4-r0.apk
2025-05-19 13:10
248K
river-bedload-zsh-completion-0.1.1_git20250823-r0.apk
2025-09-22 01:17
1.9K
river-bedload-doc-0.1.1_git20250823-r0.apk
2025-09-22 01:17
2.3K
river-bedload-0.1.1_git20250823-r0.apk
2025-09-22 01:17
137K
ripasso-cursive-0.7.0-r1.apk
2026-01-17 22:42
3.1M
rio-terminfo-0.2.35-r0.apk
2025-11-14 21:57
3.4K
rio-doc-0.2.35-r0.apk
2025-11-14 21:57
2.3K
rio-0.2.35-r0.apk
2025-11-14 21:57
10M
rinetd-openrc-0.73-r0.apk
2024-10-25 19:03
1.7K
rinetd-doc-0.73-r0.apk
2024-10-25 19:03
16K
rinetd-0.73-r0.apk
2024-10-25 19:03
14K
riemann-cli-0.8.0-r2.apk
2024-10-25 19:03
515K
ri-li-2.0.1-r1.apk
2024-10-25 19:03
18M
rhasspy-nlu-pyc-0.4.0-r3.apk
2024-10-25 19:03
73K
rhasspy-nlu-0.4.0-r3.apk
2024-10-25 19:03
44K
rgxg-doc-0.1.2-r2.apk
2024-10-25 19:03
12K
rgxg-dev-0.1.2-r2.apk
2024-10-25 19:03
3.5K
rgxg-0.1.2-r2.apk
2024-10-25 19:03
13K
rezolus-openrc-2.11.1-r3.apk
2024-10-25 19:03
2.1K
rezolus-doc-2.11.1-r3.apk
2024-10-25 19:03
3.4K
rezolus-2.11.1-r3.apk
2024-10-25 19:03
886K
restinio-dev-0.6.19-r1.apk
2024-12-14 21:23
268K
restinio-0.6.19-r1.apk
2024-12-14 21:23
1.2K
restic.mk-0.4.0-r0.apk
2024-10-25 19:03
2.9K
restart-services-doc-0.17.0-r0.apk
2024-10-25 19:03
5.9K
restart-services-0.17.0-r0.apk
2024-10-25 19:03
12K
responder-3.1.7.0-r0.apk
2025-10-14 14:54
747K
resources-lang-1.9.1-r0.apk
2025-11-21 09:54
211K
resources-1.9.1-r0.apk
2025-11-21 09:54
2.4M
rescrobbled-openrc-0.8.0-r0.apk
2026-01-25 23:23
1.7K
rescrobbled-doc-0.8.0-r0.apk
2026-01-25 23:23
14K
rescrobbled-0.8.0-r0.apk
2026-01-25 23:23
648K
reredirect-doc-0.3-r0.apk
2024-10-25 19:03
2.8K
reredirect-0.3-r0.apk
2024-10-25 19:03
8.7K
reprotest-pyc-0.7.32-r0.apk
2025-11-02 14:06
103K
reprotest-0.7.32-r0.apk
2025-11-02 14:06
80K
repowerd-openrc-2023.07-r3.apk
2025-02-22 14:39
1.7K
repowerd-2023.07-r3.apk
2025-02-22 14:39
854K
repo-doc-2.60-r0.apk
2025-12-12 19:49
40K
repo-2.60-r0.apk
2025-12-12 19:49
17K
repgrep-zsh-completion-0.15.0-r0.apk
2024-10-25 19:03
1.6K
repgrep-fish-completion-0.15.0-r0.apk
2024-10-25 19:03
4.1K
repgrep-doc-0.15.0-r0.apk
2024-10-25 19:03
6.5K
repgrep-bash-completion-0.15.0-r0.apk
2024-10-25 19:03
1.6K
repgrep-0.15.0-r0.apk
2024-10-25 19:03
1.2M
remind-caldav-pyc-0.8.0-r4.apk
2024-10-25 19:03
6.1K
remind-caldav-0.8.0-r4.apk
2024-10-25 19:03
18K
remco-openrc-0.12.5-r6.apk
2026-01-17 22:42
1.7K
remco-doc-0.12.5-r6.apk
2026-01-17 22:42
2.3K
remco-0.12.5-r6.apk
2026-01-17 22:42
10M
remake-make-1.5-r1.apk
2024-10-25 19:03
1.5K
remake-doc-1.5-r1.apk
2024-10-25 19:03
202K
remake-dev-1.5-r1.apk
2024-10-25 19:03
2.9K
remake-1.5-r1.apk
2024-10-25 19:03
137K
regclient-0.11.1-r1.apk
2026-01-17 22:42
14M
regal-zsh-completion-0.36.1-r2.apk
2026-01-17 22:42
4.0K
regal-fish-completion-0.36.1-r2.apk
2026-01-17 22:42
4.3K
regal-bash-completion-0.36.1-r2.apk
2026-01-17 22:42
6.1K
regal-0.36.1-r2.apk
2026-01-17 22:42
12M
reg-0.16.1-r34.apk
2026-01-17 22:42
4.8M
refine-lang-0.7.0-r0.apk
2026-01-04 14:48
32K
refine-0.7.0-r0.apk
2026-01-04 14:48
36K
refind-doc-0.14.2-r0.apk
2024-10-25 19:03
14K
refind-0.14.2-r0.apk
2024-10-25 19:03
1.0M
redlib-0.36.0-r0.apk
2025-10-27 08:46
2.9M
redhat-fonts-4.1.0-r1.apk
2025-08-09 16:28
809K
recyclarr-doc-7.5.2-r0.apk
2025-12-13 17:37
2.3K
recyclarr-7.5.2-r0.apk
2025-12-13 17:37
3.0M
recoll-doc-1.43.9-r0.apk
2025-12-28 08:01
34K
recoll-dev-1.43.9-r0.apk
2025-12-28 08:01
55K
recoll-1.43.9-r0.apk
2025-12-28 08:01
3.2M
reaver-wps-fork-t6x-1.6.6-r1.apk
2024-10-25 19:03
442K
reap-doc-0.2-r0.apk
2025-09-13 16:23
2.7K
reap-0.2-r0.apk
2025-09-13 16:23
5.0K
readosm-dev-1.1.0-r3.apk
2025-05-14 00:10
20K
readosm-1.1.0-r3.apk
2025-05-14 00:10
15K
reaction-tools-2.2.1-r0.apk
2025-09-23 22:32
5.0K
reaction-openrc-2.2.1-r0.apk
2025-09-23 22:32
1.8K
reaction-2.2.1-r0.apk
2025-09-23 22:32
1.4M
rdrview-doc-0.1.3-r0.apk
2025-02-22 19:30
3.7K
rdrview-0.1.3-r0.apk
2025-02-22 19:30
28K
rclone-browser-1.8.0-r1.apk
2024-10-25 19:02
334K
razercfg-pyc-0.42-r7.apk
2024-10-25 19:02
36K
razercfg-openrc-0.42-r7.apk
2024-10-25 19:02
1.7K
razercfg-gui-0.42-r7.apk
2024-10-25 19:02
19K
razercfg-0.42-r7.apk
2024-10-25 19:02
78K
rauc-service-1.10.1-r0.apk
2024-10-25 19:02
3.7K
rauc-doc-1.10.1-r0.apk
2024-10-25 19:02
4.2K
rauc-1.10.1-r0.apk
2024-10-25 19:02
143K
rattler-build-zsh-completion-0.18.0-r0.apk
2024-10-25 19:02
5.4K
rattler-build-fish-completion-0.18.0-r0.apk
2024-10-25 19:02
4.7K
rattler-build-doc-0.18.0-r0.apk
2024-10-25 19:02
6.7K
rattler-build-bash-completion-0.18.0-r0.apk
2024-10-25 19:02
3.6K
rattler-build-0.18.0-r0.apk
2024-10-25 19:02
5.6M
rathole-0.5.0-r0.apk
2024-10-25 19:02
1.3M
raspberrypi-usbboot-20250227-r0.apk
2025-06-09 08:50
886K
rankwidth-static-0.9-r4.apk
2025-10-28 01:54
4.3K
rankwidth-libs-0.9-r4.apk
2025-10-28 01:54
4.8K
rankwidth-doc-0.9-r4.apk
2025-10-28 01:54
2.9K
rankwidth-dev-0.9-r4.apk
2025-10-28 01:54
2.9K
rankwidth-0.9-r4.apk
2025-10-28 01:54
5.3K
randrctl-pyc-1.10.0-r0.apk
2024-11-17 21:22
31K
randrctl-1.10.0-r0.apk
2024-11-17 21:22
28K
radarr-openrc-6.0.4.10291-r0.apk
2025-11-17 14:44
2.0K
radarr-6.0.4.10291-r0.apk
2025-11-17 14:44
28M
r2ghidra-6.0.8-r0.apk
2026-01-05 18:54
11M
quodlibet-zsh-completion-4.7.1-r0.apk
2025-09-16 18:53
2.7K
quodlibet-pyc-4.7.1-r0.apk
2025-09-16 18:53
1.8M
quodlibet-lang-4.7.1-r0.apk
2025-09-16 18:53
1.4M
quodlibet-doc-4.7.1-r0.apk
2025-09-16 18:53
8.7K
quodlibet-bash-completion-4.7.1-r0.apk
2025-09-16 18:53
4.5K
quodlibet-4.7.1-r0.apk
2025-09-16 18:53
1.0M
quickemu-doc-4.9.8-r0.apk
2026-01-25 11:42
25K
quickemu-4.9.8-r0.apk
2026-01-25 11:42
58K
queercat-1.0.0-r0.apk
2024-10-25 19:02
7.3K
quark-doc-0.5-r0.apk
2025-10-11 17:10
4.4K
quark-0.5-r0.apk
2025-10-11 17:10
2.0M
quakespasm-0.96.3-r0.apk
2024-10-25 19:02
465K
qtpass-doc-1.4.0-r0.apk
2024-10-25 19:02
2.1K
qtpass-1.4.0-r0.apk
2024-10-25 19:02
420K
qtox-1.18.3-r0.apk
2025-11-29 16:53
5.0M
qtmir-dev-0.7.2_git20250407-r5.apk
2025-12-18 14:24
6.6K
qtmir-0.7.2_git20250407-r5.apk
2025-12-18 14:24
504K
qtile-pyc-0.33.0-r0.apk
2025-09-17 07:56
858K
qtile-0.33.0-r0.apk
2025-09-17 07:56
469K
qt6ct-0.11-r2.apk
2025-12-04 22:48
190K
qt6-qtgraphs-dev-6.10.1-r0.apk
2025-12-10 18:29
118K
qt6-qtgraphs-6.10.1-r0.apk
2025-12-10 18:29
1.1M
qt5ct-dev-1.9-r0.apk
2025-11-19 04:37
1.5K
qt5ct-1.9-r0.apk
2025-11-19 04:37
222K
qt-wayland-shell-helpers-dev-0.1.1-r3.apk
2024-10-25 19:02
3.9K
qt-wayland-shell-helpers-0.1.1-r3.apk
2024-10-25 19:02
13K
qt-creator-dbg-18.0.2-r0.apk
2026-01-27 17:13
471M
qt-creator-18.0.2-r0.apk
2026-01-27 17:12
48M
qsynth-doc-1.0.3-r0.apk
2025-11-25 11:01
4.4K
qsynth-1.0.3-r0.apk
2025-11-25 11:01
435K
qstardict-doc-2.0.2-r1.apk
2024-11-24 10:45
11K
qstardict-2.0.2-r1.apk
2024-11-24 10:45
446K
qsstv-9.5.8-r2.apk
2024-10-25 19:02
1.0M
qspectrumanalyzer-pyc-2.2.0-r5.apk
2024-10-25 19:02
62K
qspectrumanalyzer-2.2.0-r5.apk
2024-10-25 19:02
54K
qqc2-suru-style-0.20230206-r1.apk
2024-10-25 19:02
172K
qperf-doc-0.4.11-r2.apk
2025-05-14 00:10
5.6K
qperf-0.4.11-r2.apk
2025-05-14 00:10
30K
qpdfview-doc-0.5-r2.apk
2025-01-29 20:02
4.2K
qpdfview-0.5-r2.apk
2025-01-29 20:02
1.0M
qownnotes-lang-25.12.5-r0.apk
2025-12-14 22:31
4.9M
qownnotes-25.12.5-r0.apk
2025-12-14 22:31
2.6M
qoiconv-0.0.0_git20230312-r0.apk
2024-10-25 19:02
29K
qoi-dev-0.0.0_git20230312-r0.apk
2024-10-25 19:02
6.8K
qoi-0.0.0_git20230312-r0.apk
2024-10-25 19:02
1.5K
qml-box2d-0_git20180406-r0.apk
2024-10-25 19:02
139K
qmk-cli-udev-1.2.0-r0.apk
2025-11-29 12:10
2.4K
qmk-cli-pyc-1.2.0-r0.apk
2025-11-29 12:10
23K
qmk-cli-1.2.0-r0.apk
2025-11-29 12:10
15K
qgis-server-3.44.5-r0.apk
2025-12-04 22:48
1.9M
qgis-lang-3.44.5-r0.apk
2025-12-04 22:48
33M
qgis-grass-3.44.5-r0.apk
2025-12-04 22:48
1.4M
qgis-doc-3.44.5-r0.apk
2025-12-04 22:48
3.0K
qgis-dev-3.44.5-r0.apk
2025-12-04 22:48
3.6M
qgis-3.44.5-r0.apk
2025-12-04 22:48
51M
qflipper-gui-1.3.3-r1.apk
2024-10-25 19:02
1.1M
qflipper-1.3.3-r1.apk
2024-10-25 19:02
476K
qdjango-dev-0.6.2-r1.apk
2024-10-25 19:02
14K
qdjango-0.6.2-r1.apk
2024-10-25 19:02
97K
qbittorrent-cli-2.2.0-r6.apk
2026-01-17 22:42
5.9M
qadwaitadecorations-0.1.7-r2.apk
2026-01-17 22:42
45K
q6voiced-systemd-0.2.1-r0.apk
2025-12-30 13:28
1.8K
q6voiced-openrc-0.2.1-r0.apk
2025-12-30 13:28
1.8K
q6voiced-doc-0.2.1-r0.apk
2025-12-30 13:28
2.3K
q6voiced-0.2.1-r0.apk
2025-12-30 13:28
4.5K
pyradio-pyc-0.9.3.11-r0.apk
2024-10-25 19:02
810K
pyradio-doc-0.9.3.11-r0.apk
2024-10-25 19:02
113K
pyradio-0.9.3.11-r0.apk
2024-10-25 19:02
871K
pypykatz-pyc-0.6.13-r1.apk
2026-01-02 20:14
724K
pypykatz-0.6.13-r1.apk
2026-01-02 20:14
316K
pypy3-tkinter-7.3.19-r0.apk
2025-11-01 15:12
299K
pypy3-tests-7.3.19-r0.apk
2025-11-01 15:12
13M
pypy3-pyc-7.3.19-r0.apk
2025-11-01 15:12
5.8M
pypy3-dev-7.3.19-r0.apk
2025-11-01 15:12
573K
pypy3-7.3.19-r0.apk
2025-11-01 15:12
18M
pypy-tkinter-7.3.19-r0.apk
2025-11-01 15:12
446K
pypy-dev-7.3.19-r0.apk
2025-11-01 15:12
75K
pypy-bootstrap-7.3.19-r0.apk
2025-11-01 15:12
19M
pypy-7.3.19-r0.apk
2025-11-01 15:12
18M
pyonji-0.1.0-r12.apk
2026-01-17 22:42
3.0M
pympress-pyc-1.8.6-r0.apk
2025-11-10 05:46
182K
pympress-lang-1.8.6-r0.apk
2025-11-10 05:46
59K
pympress-doc-1.8.6-r0.apk
2025-11-10 05:46
75K
pympress-1.8.6-r0.apk
2025-11-10 05:46
180K
pyinfra-pyc-3.3.1-r0.apk
2025-07-16 00:27
361K
pyinfra-3.3.1-r0.apk
2025-07-16 00:27
192K
py3-zope-schema-pyc-7.0.1-r3.apk
2024-10-25 19:02
61K
py3-zope-schema-7.0.1-r3.apk
2024-10-25 19:02
45K
py3-zope-i18nmessageid-pyc-8.2-r0.apk
2025-12-25 12:29
8.4K
py3-zope-i18nmessageid-8.2-r0.apk
2025-12-25 12:29
14K
py3-zope-configuration-pyc-7.0-r0.apk
2025-12-02 00:39
49K
py3-zope-configuration-7.0-r0.apk
2025-12-02 00:39
39K
py3-zipfile2-pyc-0.0.12-r0.apk
2024-10-25 19:02
29K
py3-zipfile2-0.0.12-r0.apk
2024-10-25 19:02
45K
py3-zimscraperlib-pyc-3.4.0-r0.apk
2024-11-06 09:41
68K
py3-zimscraperlib-3.4.0-r0.apk
2024-11-06 09:41
52K
py3-youtube-search-pyc-1.6.6-r5.apk
2025-05-14 18:16
95K
py3-youtube-search-1.6.6-r5.apk
2025-05-14 18:16
78K
py3-yosys-0.57-r0.apk
2025-10-24 21:50
557K
py3-yara-4.5.4-r0.apk
2025-08-13 15:32
17K
py3-yapsy-pyc-1.12.2-r7.apk
2024-10-25 19:02
47K
py3-yapsy-1.12.2-r7.apk
2024-10-25 19:02
32K
py3-xsdata-pyc-26.1-r0.apk
2026-01-20 11:16
392K
py3-xsdata-26.1-r0.apk
2026-01-20 11:16
185K
py3-xdoctest-1.2.0-r0.apk
2024-11-21 13:32
312K
py3-xapp-3.0.1-r0.apk
2026-01-09 16:03
72K
py3-x-wr-timezone-pyc-2.0.1-r0.apk
2025-02-09 15:13
7.1K
py3-x-wr-timezone-2.0.1-r0.apk
2025-02-09 15:13
12K
py3-wtf-peewee-pyc-3.0.6-r0.apk
2024-10-25 19:02
25K
py3-wtf-peewee-3.0.6-r0.apk
2024-10-25 19:02
13K
py3-wsgiprox-pyc-1.5.2-r1.apk
2024-10-25 19:02
28K
py3-wsgiprox-1.5.2-r1.apk
2024-10-25 19:02
17K
py3-winacl-pyc-0.1.9-r1.apk
2025-05-29 12:00
131K
py3-winacl-0.1.9-r1.apk
2025-05-29 12:00
83K
py3-wikipedia-pyc-1.4.0-r0.apk
2025-09-14 07:34
16K
py3-wikipedia-doc-1.4.0-r0.apk
2025-09-14 07:34
4.1K
py3-wikipedia-1.4.0-r0.apk
2025-09-14 07:34
12K
py3-wifi-pyc-0.3.8-r7.apk
2024-10-25 19:02
14K
py3-wifi-0.3.8-r7.apk
2024-10-25 19:02
13K
py3-wgconfig-pyc-1.1.0-r0.apk
2025-01-29 16:00
12K
py3-wgconfig-1.1.0-r0.apk
2025-01-29 16:00
22K
py3-wg-netns-pyc-2.3.1-r1.apk
2024-10-25 19:02
13K
py3-wg-netns-2.3.1-r1.apk
2024-10-25 19:02
7.6K
py3-webrtcvad-pyc-2.0.10-r1.apk
2024-10-25 19:02
2.8K
py3-webrtcvad-2.0.10-r1.apk
2024-10-25 19:02
23K
py3-wbdata-pyc-1.1.0-r0.apk
2026-01-15 05:27
20K
py3-wbdata-1.1.0-r0.apk
2026-01-15 05:27
18K
py3-ward-pyc-0.67.0_beta0-r2.apk
2024-10-25 19:02
80K
py3-ward-0.67.0_beta0-r2.apk
2024-10-25 19:02
41K
py3-visitor-pyc-0.1.3-r7.apk
2024-10-25 19:02
2.6K
py3-visitor-0.1.3-r7.apk
2024-10-25 19:02
4.6K
py3-virtualenvwrapper-pyc-6.1.1-r1.apk
2025-12-18 11:03
12K
py3-virtualenvwrapper-6.1.1-r1.apk
2025-12-18 11:03
22K
py3-venusian-pyc-3.1.1-r0.apk
2024-12-07 21:14
12K
py3-venusian-3.1.1-r0.apk
2024-12-07 21:14
14K
py3-vdf-pyc-3.4-r2.apk
2025-10-16 23:45
17K
py3-vdf-3.4-r2.apk
2025-10-16 23:45
11K
py3-vatnumber-pyc-1.2-r9.apk
2024-10-25 19:02
8.6K
py3-vatnumber-1.2-r9.apk
2024-10-25 19:02
19K
py3-utc-pyc-0.0.3-r9.apk
2024-10-25 19:02
2.8K
py3-utc-0.0.3-r9.apk
2024-10-25 19:02
3.5K
py3-us-pyc-3.2.0-r0.apk
2024-10-25 19:02
15K
py3-us-3.2.0-r0.apk
2024-10-25 19:02
14K
py3-urlobject-pyc-2.4.3-r9.apk
2024-10-25 19:02
25K
py3-urlobject-2.4.3-r9.apk
2024-10-25 19:02
15K
py3-uptime-pyc-3.0.1-r9.apk
2024-10-25 19:02
8.7K
py3-uptime-3.0.1-r9.apk
2024-10-25 19:02
9.6K
py3-unoconv-0.9.0-r4.apk
2025-05-14 00:10
26K
py3-unidns-pyc-0.0.4-r0.apk
2025-11-03 08:48
25K
py3-unidns-examples-0.0.4-r0.apk
2025-11-03 08:48
2.6K
py3-unidns-0.0.4-r0.apk
2025-11-03 08:48
14K
py3-unicrypto-pyc-0.0.12-r0.apk
2025-11-03 08:48
92K
py3-unicrypto-0.0.12-r0.apk
2025-11-03 08:48
59K
py3-unicorn-pyc-2.1.4-r0.apk
2025-10-14 14:38
92K
py3-unicorn-2.1.4-r0.apk
2025-10-14 14:38
51K
py3-unearth-pyc-0.18.0-r0.apk
2025-10-14 14:54
82K
py3-unearth-0.18.0-r0.apk
2025-10-14 14:54
41K
py3-uc-micro-py-pyc-1.0.3-r0.apk
2025-10-27 08:46
4.6K
py3-uc-micro-py-1.0.3-r0.apk
2025-10-27 08:46
6.5K
py3-uacme-desec-pyc-1.2.1-r0.apk
2024-10-25 19:02
6.8K
py3-uacme-desec-doc-1.2.1-r0.apk
2024-10-25 19:02
2.2K
py3-uacme-desec-1.2.1-r0.apk
2024-10-25 19:02
5.7K
py3-u-msgpack-pyc-2.8.0-r2.apk
2024-10-25 19:02
16K
py3-u-msgpack-2.8.0-r2.apk
2024-10-25 19:02
11K
py3-typing_inspect-pyc-0.9.0-r2.apk
2024-10-25 19:02
14K
py3-typing_inspect-0.9.0-r2.apk
2024-10-25 19:02
9.9K
py3-trivup-pyc-0.12.2-r2.apk
2024-10-25 19:02
55K
py3-trivup-0.12.2-r2.apk
2024-10-25 19:02
34K
py3-trimesh-pyc-4.11.1-r0.apk
2026-01-26 15:40
795K
py3-trimesh-4.11.1-r0.apk
2026-01-26 15:40
680K
py3-translationstring-pyc-1.4-r4.apk
2024-10-25 19:02
8.8K
py3-translationstring-1.4-r4.apk
2024-10-25 19:02
9.2K
py3-transitions-pyc-0.9.2-r0.apk
2024-10-25 19:02
129K
py3-transitions-0.9.2-r0.apk
2024-10-25 19:02
98K
py3-trakit-pyc-0.2.5-r0.apk
2025-11-24 01:06
17K
py3-trakit-0.2.5-r0.apk
2025-11-24 01:06
19K
py3-tpm2-pytss-pyc-2.3.0-r1.apk
2024-10-25 19:02
236K
py3-tpm2-pytss-2.3.0-r1.apk
2024-10-25 19:02
292K
py3-tokenizers-pyc-0.21.2-r0.apk
2025-06-29 22:41
29K
py3-tokenizers-0.21.2-r0.apk
2025-06-29 22:41
1.7M
py3-tlslite-ng-pyc-0.7.6-r8.apk
2024-10-25 19:02
275K
py3-tlslite-ng-0.7.6-r8.apk
2024-10-25 19:02
179K
py3-tls_parser-pyc-2.0.2-r0.apk
2025-07-14 03:41
17K
py3-tls_parser-2.0.2-r0.apk
2025-07-14 03:41
10K
py3-timeago-pyc-1.0.16-r0.apk
2024-10-25 19:02
28K
py3-timeago-doc-1.0.16-r0.apk
2024-10-25 19:02
2.8K
py3-timeago-1.0.16-r0.apk
2024-10-25 19:02
24K
py3-tidalapi-pyc-0.8.4-r0.apk
2025-07-12 16:08
91K
py3-tidalapi-0.8.4-r0.apk
2025-07-12 16:08
50K
py3-ticket-auth-pyc-0.1.4-r9.apk
2024-10-25 19:02
6.4K
py3-ticket-auth-0.1.4-r9.apk
2024-10-25 19:02
6.0K
py3-thefuzz-pyc-0.22.1-r1.apk
2024-10-25 19:02
9.0K
py3-thefuzz-0.22.1-r1.apk
2024-10-25 19:02
10K
py3-textual-pyc-5.3.0-r0.apk
2025-10-27 08:46
1.2M
py3-textual-5.3.0-r0.apk
2025-10-27 08:46
612K
py3-testresources-pyc-2.0.1-r6.apk
2024-10-25 19:02
16K
py3-testresources-2.0.1-r6.apk
2024-10-25 19:02
17K
py3-teletype-pyc-1.3.4-r3.apk
2024-10-25 19:02
21K
py3-teletype-1.3.4-r3.apk
2024-10-25 19:02
15K
py3-telemetrix-pyc-1.20-r3.apk
2024-10-25 19:02
31K
py3-telemetrix-1.20-r3.apk
2024-10-25 19:02
21K
py3-telegram-text-pyc-0.2.0-r1.apk
2024-10-25 19:02
13K
py3-telegram-text-0.2.0-r1.apk
2024-10-25 19:02
9.2K
py3-telegram-bot-pyc-22.5-r0.apk
2025-11-20 10:14
802K
py3-telegram-bot-22.5-r0.apk
2025-11-20 10:14
497K
py3-tasklib-pyc-2.5.1-r2.apk
2024-10-25 19:02
52K
py3-tasklib-2.5.1-r2.apk
2024-10-25 19:02
23K
py3-tailer-pyc-0.4.1-r7.apk
2024-10-25 19:02
6.6K
py3-tailer-0.4.1-r7.apk
2024-10-25 19:02
6.9K
py3-synapse-auto-accept-invite-pyc-1.2.0-r1.apk
2025-08-09 16:28
5.6K
py3-synapse-auto-accept-invite-1.2.0-r1.apk
2025-08-09 16:28
9.8K
py3-swagger-ui-bundle-pyc-1.1.0-r1.apk
2024-10-25 19:02
2.1K
py3-swagger-ui-bundle-1.1.0-r1.apk
2024-10-25 19:02
2.5M
py3-svglib-pyc-1.5.1-r0.apk
2025-06-10 18:55
42K
py3-svglib-doc-1.5.1-r0.apk
2025-06-10 18:55
2.1K
py3-svglib-1.5.1-r0.apk
2025-06-10 18:55
30K
py3-sstash-pyc-0.17-r9.apk
2024-10-25 19:02
10K
py3-sstash-0.17-r9.apk
2024-10-25 19:02
7.7K
py3-sssd-pyc-2.11.1-r2.apk
2025-11-20 22:18
49K
py3-sssd-2.11.1-r2.apk
2025-11-20 22:18
58K
py3-sqlmodel-pyc-0.0.22-r1.apk
2024-12-06 23:03
41K
py3-sqlmodel-0.0.22-r1.apk
2024-12-06 23:03
26K
py3-spotipy-pyc-2.24.0-r3.apk
2025-10-14 15:05
49K
py3-spotipy-2.24.0-r3.apk
2025-10-14 15:05
30K
py3-spnego-pyc-0.11.2-r0.apk
2025-01-16 07:52
219K
py3-spnego-0.11.2-r0.apk
2025-01-16 07:52
118K
py3-spinners-pyc-0.0.24-r5.apk
2024-10-25 19:02
6.2K
py3-spinners-0.0.24-r5.apk
2024-10-25 19:02
6.1K
py3-spin-pyc-0.8-r0.apk
2024-10-25 19:02
24K
py3-spin-0.8-r0.apk
2024-10-25 19:02
19K
py3-spidev-3.6-r1.apk
2024-10-25 19:02
13K
py3-sphinxcontrib-textstyle-pyc-0.2.3-r8.apk
2024-10-25 19:02
5.7K
py3-sphinxcontrib-textstyle-0.2.3-r8.apk
2024-10-25 19:02
6.1K
py3-sphinxcontrib-sqltable-pyc-2.0.0-r8.apk
2024-10-25 19:02
4.9K
py3-sphinxcontrib-sqltable-2.0.0-r8.apk
2024-10-25 19:02
7.3K
py3-sphinxcontrib-spelling-pyc-8.0.1-r0.apk
2025-07-13 21:43
19K
py3-sphinxcontrib-spelling-8.0.1-r0.apk
2025-07-13 21:43
13K
py3-sphinxcontrib-slide-pyc-1.0.0-r4.apk
2025-05-14 00:10
5.6K
py3-sphinxcontrib-slide-1.0.0-r4.apk
2025-05-14 00:10
4.9K
py3-sphinxcontrib-seqdiag-pyc-3.0.0-r5.apk
2024-10-25 19:02
9.3K
py3-sphinxcontrib-seqdiag-3.0.0-r5.apk
2024-10-25 19:02
7.6K
py3-sphinxcontrib-restbuilder-pyc-0.3-r6.apk
2024-10-25 19:02
20K
py3-sphinxcontrib-restbuilder-0.3-r6.apk
2024-10-25 19:02
11K
py3-sphinxcontrib-programoutput-pyc-0.17-r5.apk
2024-10-25 19:02
24K
py3-sphinxcontrib-programoutput-0.17-r5.apk
2024-10-25 19:02
16K
py3-sphinxcontrib-plantuml-pyc-0.30-r0.apk
2025-05-10 03:12
19K
py3-sphinxcontrib-plantuml-0.30-r0.apk
2025-05-10 03:12
12K
py3-sphinxcontrib-phpdomain-pyc-0.13.0-r0.apk
2025-07-12 16:07
17K
py3-sphinxcontrib-phpdomain-0.13.0-r0.apk
2025-07-12 16:07
11K
py3-sphinxcontrib-nwdiag-pyc-2.0.0-r7.apk
2024-10-25 19:02
11K
py3-sphinxcontrib-nwdiag-2.0.0-r7.apk
2024-10-25 19:02
8.7K
py3-sphinxcontrib-mermaid-pyc-1.0.0-r0.apk
2025-05-10 03:12
16K
py3-sphinxcontrib-mermaid-1.0.0-r0.apk
2025-05-10 03:12
10K
py3-sphinxcontrib-manpage-pyc-0.6-r8.apk
2024-10-25 19:02
3.1K
py3-sphinxcontrib-manpage-0.6-r8.apk
2024-10-25 19:02
4.1K
py3-sphinxcontrib-lassodomain-pyc-0.4-r8.apk
2024-10-25 19:02
11K
py3-sphinxcontrib-lassodomain-0.4-r8.apk
2024-10-25 19:02
7.9K
py3-sphinxcontrib-issuetracker-pyc-0.11-r7.apk
2024-10-25 19:02
12K
py3-sphinxcontrib-issuetracker-0.11-r7.apk
2024-10-25 19:02
11K
py3-sphinxcontrib-inheritance-pyc-0.9.0-r9.apk
2024-10-25 19:02
15K
py3-sphinxcontrib-inheritance-0.9.0-r9.apk
2024-10-25 19:02
11K
py3-sphinxcontrib-hydomain-pyc-0.1.0_git20230930-r1.apk
2024-10-25 19:02
44K
py3-sphinxcontrib-hydomain-0.1.0_git20230930-r1.apk
2024-10-25 19:02
21K
py3-sphinxcontrib-httpdomain-pyc-1.8.1-r3.apk
2024-10-25 19:02
34K
py3-sphinxcontrib-httpdomain-lang-1.8.1-r3.apk
2024-10-25 19:02
4.3K
py3-sphinxcontrib-httpdomain-1.8.1-r3.apk
2024-10-25 19:02
18K
py3-sphinxcontrib-htsql-pyc-0.1.5-r8.apk
2024-10-25 19:02
15K
py3-sphinxcontrib-htsql-0.1.5-r8.apk
2024-10-25 19:02
11K
py3-sphinxcontrib-gravatar-pyc-0.1.2-r8.apk
2024-10-25 19:02
7.6K
py3-sphinxcontrib-gravatar-0.1.2-r8.apk
2024-10-25 19:02
7.9K
py3-sphinxcontrib-gist-pyc-0.1.0-r9.apk
2024-10-25 19:02
3.3K
py3-sphinxcontrib-gist-0.1.0-r9.apk
2024-10-25 19:02
3.9K
py3-sphinxcontrib-fulltoc-pyc-1.2.0-r8.apk
2024-10-25 19:02
3.5K
py3-sphinxcontrib-fulltoc-1.2.0-r8.apk
2024-10-25 19:02
9.1K
py3-sphinxcontrib-cartouche-pyc-1.1.2-r7.apk
2024-10-25 19:02
34K
py3-sphinxcontrib-cartouche-1.1.2-r7.apk
2024-10-25 19:02
18K
py3-sphinxcontrib-cacoo-pyc-2.0.0-r7.apk
2024-10-25 19:02
4.3K
py3-sphinxcontrib-cacoo-2.0.0-r7.apk
2024-10-25 19:02
5.7K
py3-sphinxcontrib-blockdiag-pyc-3.0.0-r4.apk
2024-10-25 19:02
9.2K
py3-sphinxcontrib-blockdiag-3.0.0-r4.apk
2024-10-25 19:02
7.5K
py3-sphinxcontrib-bitbucket-pyc-1.0-r8.apk
2024-10-25 19:02
4.1K
py3-sphinxcontrib-bitbucket-1.0-r8.apk
2024-10-25 19:02
5.7K
py3-sphinxcontrib-adadomain-pyc-0.2-r9.apk
2024-10-25 19:02
12K
py3-sphinxcontrib-adadomain-0.2-r9.apk
2024-10-25 19:02
9.0K
py3-sphinxcontrib-actdiag-pyc-3.0.0-r4.apk
2024-10-25 19:02
9.3K
py3-sphinxcontrib-actdiag-3.0.0-r4.apk
2024-10-25 19:02
7.6K
py3-sphinx-theme-readable-pyc-1.3.0-r9.apk
2024-10-25 19:02
2.2K
py3-sphinx-theme-readable-1.3.0-r9.apk
2024-10-25 19:02
8.8K
py3-sphinx-theme-guzzle-pyc-0.7.11-r7.apk
2024-10-25 19:02
5.5K
py3-sphinx-theme-guzzle-0.7.11-r7.apk
2024-10-25 19:02
2.4M
py3-sphinx-theme-epfl-pyc-1.1.1-r9.apk
2024-10-25 19:02
2.5K
py3-sphinx-theme-epfl-1.1.1-r9.apk
2024-10-25 19:02
30K
py3-sphinx-theme-cloud-pyc-1.10.0-r2.apk
2024-10-25 19:02
43K
py3-sphinx-theme-cloud-1.10.0-r2.apk
2024-10-25 19:02
81K
py3-sphinx-theme-bw-pyc-0.1.8-r7.apk
2024-10-25 19:02
1.8K
py3-sphinx-theme-bw-0.1.8-r7.apk
2024-10-25 19:02
65K
py3-sphinx-theme-bootstrap-pyc-0.8.1-r4.apk
2024-10-25 19:02
2.3K
py3-sphinx-theme-bootstrap-0.8.1-r4.apk
2024-10-25 19:02
1.2M
py3-sphinx-theme-better-pyc-0.1.5-r7.apk
2024-10-25 19:02
2.0K
py3-sphinx-theme-better-0.1.5-r7.apk
2024-10-25 19:02
11K
py3-sphinx-autoapi-pyc-3.6.1-r0.apk
2025-10-11 10:59
59K
py3-sphinx-autoapi-3.6.1-r0.apk
2025-10-11 10:59
31K
py3-sphinx-argparse-pyc-0.5.2-r0.apk
2024-10-25 19:02
22K
py3-sphinx-argparse-0.5.2-r0.apk
2024-10-25 19:02
14K
py3-spake2-pyc-0.9-r0.apk
2024-10-25 19:02
44K
py3-spake2-0.9-r0.apk
2024-10-25 19:02
30K
py3-sortedcollections-pyc-2.1.0-r5.apk
2024-10-25 19:02
14K
py3-sortedcollections-2.1.0-r5.apk
2024-10-25 19:02
11K
py3-solidpython-pyc-1.1.2-r2.apk
2024-10-25 19:02
120K
py3-solidpython-1.1.2-r2.apk
2024-10-25 19:02
79K
py3-socketio-pyc-5.13.0-r0.apk
2025-09-06 16:20
127K
py3-socketio-doc-5.13.0-r0.apk
2025-09-06 16:20
36K
py3-socketio-5.13.0-r0.apk
2025-09-06 16:20
62K
py3-soapy_power-pyc-1.6.1-r5.apk
2024-10-25 19:02
27K
py3-soapy_power-1.6.1-r5.apk
2024-10-25 19:02
17K
py3-snapshottest-pyc-0.6.0-r5.apk
2024-10-25 19:02
26K
py3-snapshottest-0.6.0-r5.apk
2024-10-25 19:02
15K
py3-slixmpp-pyc-1.8.5-r2.apk
2024-10-25 19:02
729K
py3-slixmpp-doc-1.8.5-r2.apk
2024-10-25 19:02
5.8K
py3-slixmpp-1.8.5-r2.apk
2024-10-25 19:02
382K
py3-slidge-style-parser-pyc-0.1.9-r0.apk
2025-04-13 21:31
2.0K
py3-slidge-style-parser-0.1.9-r0.apk
2025-04-13 21:31
209K
py3-simplespectral-pyc-1.0.0-r5.apk
2024-10-25 19:02
8.1K
py3-simplespectral-1.0.0-r5.apk
2024-10-25 19:02
7.5K
py3-simplesoapy-pyc-1.5.1-r7.apk
2024-10-25 19:02
12K
py3-simplesoapy-1.5.1-r7.apk
2024-10-25 19:02
7.9K
py3-simplesat-pyc-0.8.2-r0.apk
2024-10-25 19:02
157K
py3-simplesat-0.8.2-r0.apk
2024-10-25 19:02
214K
py3-simplematch-pyc-1.4-r1.apk
2024-10-25 19:02
5.8K
py3-simplematch-1.4-r1.apk
2024-10-25 19:02
8.0K
py3-simpleeval-pyc-1.0.3-r0.apk
2025-06-24 19:38
16K
py3-simpleeval-1.0.3-r0.apk
2025-06-24 19:38
16K
py3-simple-websocket-pyc-1.1.0-r0.apk
2025-09-06 16:20
23K
py3-simple-websocket-doc-1.1.0-r0.apk
2025-09-06 16:20
2.3K
py3-simple-websocket-1.1.0-r0.apk
2025-09-06 16:20
11K
py3-simber-pyc-0.2.6-r5.apk
2025-05-14 18:16
16K
py3-simber-0.2.6-r5.apk
2025-05-14 18:16
12K
py3-shodan-pyc-1.31.0-r1.apk
2024-10-25 19:02
79K
py3-shodan-doc-1.31.0-r1.apk
2024-10-25 19:02
7.2K
py3-shodan-1.31.0-r1.apk
2024-10-25 19:02
44K
py3-sh-pyc-2.1.0-r0.apk
2024-11-01 15:13
55K
py3-sh-2.1.0-r0.apk
2024-11-01 15:13
38K
py3-setuptools-lint-pyc-0.6.0-r9.apk
2024-10-25 19:02
6.0K
py3-setuptools-lint-0.6.0-r9.apk
2024-10-25 19:02
5.4K
py3-seqdiag-pyc-3.0.0-r5.apk
2024-10-25 19:02
42K
py3-seqdiag-3.0.0-r5.apk
2024-10-25 19:02
2.5M
py3-senf-pyc-1.5.0-r0.apk
2025-06-27 10:32
32K
py3-senf-1.5.0-r0.apk
2025-06-27 10:32
20K
py3-scs-pyc-3.2.3-r4.apk
2024-10-25 19:02
4.9K
py3-scs-3.2.3-r4.apk
2024-10-25 19:02
105K
py3-scrapy-pyc-2.13.4-r0.apk
2026-01-05 22:27
521K
py3-scrapy-2.13.4-r0.apk
2026-01-05 22:27
252K
py3-scour-pyc-0.38.2-r1.apk
2024-10-25 19:02
74K
py3-scour-0.38.2-r1.apk
2024-10-25 19:02
56K
py3-schema-pyc-0.7.7-r0.apk
2025-07-07 16:14
21K
py3-schema-0.7.7-r0.apk
2025-07-07 16:14
19K
py3-saltext-s3fs-pyc-1.0.0-r0.apk
2026-01-24 13:54
16K
py3-saltext-s3fs-1.0.0-r0.apk
2026-01-24 13:54
16K
py3-rst2pdf-pyc-0.102-r0.apk
2025-06-10 18:55
206K
py3-rst2pdf-0.102-r0.apk
2025-06-10 18:55
155K
py3-rst2ansi-pyc-0.1.5-r0.apk
2024-10-25 19:02
24K
py3-rst2ansi-doc-0.1.5-r0.apk
2024-10-25 19:02
2.2K
py3-rst2ansi-0.1.5-r0.apk
2024-10-25 19:02
12K
py3-rst.linker-pyc-2.6.0-r0.apk
2024-10-25 19:02
6.6K
py3-rst.linker-2.6.0-r0.apk
2024-10-25 19:02
6.1K
py3-rst-pyc-0.1-r9.apk
2024-10-25 19:02
6.1K
py3-rst-0.1-r9.apk
2024-10-25 19:02
5.6K
py3-rpio-pyc-0.10.1-r8.apk
2024-10-25 19:02
16K
py3-rpio-0.10.1-r8.apk
2024-10-25 19:02
35K
py3-rospkg-pyc-1.2.9-r5.apk
2024-10-25 19:02
54K
py3-rospkg-1.2.9-r5.apk
2024-10-25 19:02
29K
py3-rosdistro-pyc-0.9.0-r3.apk
2024-10-25 19:02
91K
py3-rosdistro-0.9.0-r3.apk
2024-10-25 19:02
47K
py3-rofi-pyc-1.0.1-r1.apk
2025-08-09 16:28
12K
py3-rofi-1.0.1-r1.apk
2025-08-09 16:28
12K
py3-rns-pyc-1.0.0-r0.apk
2025-09-23 22:32
705K
py3-rns-1.0.0-r0.apk
2025-09-23 22:32
344K
py3-riotctrl-pyc-0.5.0-r4.apk
2024-10-25 19:02
11K
py3-riotctrl-0.5.0-r4.apk
2024-10-25 19:02
13K
py3-rich-click-pyc-1.7.3-r1.apk
2024-10-25 19:02
40K
py3-rich-click-1.7.3-r1.apk
2024-10-25 19:02
31K
py3-rfc-bibtex-pyc-0.3.2-r7.apk
2024-10-25 19:02
12K
py3-rfc-bibtex-0.3.2-r7.apk
2024-10-25 19:02
13K
py3-requests-wsgi-adapter-pyc-0.4.1-r1.apk
2024-10-25 19:02
6.6K
py3-requests-wsgi-adapter-0.4.1-r1.apk
2024-10-25 19:02
5.5K
py3-requests-kerberos-pyc-0.15.0-r0.apk
2024-12-01 17:24
11K
py3-requests-kerberos-0.15.0-r0.apk
2024-12-01 17:24
12K
py3-requests-cache-pyc-1.2.1-r2.apk
2026-01-18 08:49
94K
py3-requests-cache-1.2.1-r2.apk
2026-01-18 08:49
50K
py3-remind-pyc-0.19.2-r0.apk
2025-04-22 17:48
23K
py3-remind-0.19.2-r0.apk
2025-04-22 17:48
24K
py3-redmine-pyc-2.5.0-r0.apk
2024-10-25 19:02
54K
py3-redmine-2.5.0-r0.apk
2024-10-25 19:02
37K
py3-recurring-ical-events-pyc-3.8.0-r0.apk
2025-06-15 03:57
50K
py3-recurring-ical-events-3.8.0-r0.apk
2025-06-15 03:57
39K
py3-recommonmark-pyc-0.7.1-r4.apk
2024-10-25 19:02
18K
py3-recommonmark-0.7.1-r4.apk
2024-10-25 19:02
12K
py3-radon-pyc-6.0.1-r2.apk
2024-10-25 19:02
50K
py3-radon-doc-6.0.1-r2.apk
2024-10-25 19:02
5.1K
py3-radon-6.0.1-r2.apk
2024-10-25 19:02
32K
py3-rabbit-pyc-1.1.0-r8.apk
2024-10-25 19:02
15K
py3-rabbit-1.1.0-r8.apk
2024-10-25 19:02
11K
py3-r2pipe-pyc-5.9.0-r0.apk
2025-12-29 21:20
21K
py3-r2pipe-doc-5.9.0-r0.apk
2025-12-29 21:20
22K
py3-r2pipe-5.9.0-r0.apk
2025-12-29 21:20
12K
py3-queuelib-pyc-1.8.0-r0.apk
2025-09-01 18:13
24K
py3-queuelib-1.8.0-r0.apk
2025-09-01 18:13
12K
py3-quebra-frases-pyc-0.3.7-r1.apk
2024-10-25 19:02
7.8K
py3-quebra-frases-0.3.7-r1.apk
2024-10-25 19:02
8.8K
py3-qt.py-pyc-1.3.10-r1.apk
2024-10-25 19:02
25K
py3-qt.py-1.3.10-r1.apk
2024-10-25 19:02
33K
py3-qpageview-pyc-0.6.2-r1.apk
2024-10-25 19:02
180K
py3-qpageview-doc-0.6.2-r1.apk
2024-10-25 19:02
56K
py3-qpageview-0.6.2-r1.apk
2024-10-25 19:02
98K
py3-qgis-3.44.5-r0.apk
2025-12-04 22:48
22M
py3-qdldl-0.1.5-r4.apk
2024-10-25 19:02
94K
py3-qasync-0.19.0-r2.apk
2024-10-25 19:02
37K
py3-pyzor-pyc-1.1.2-r0.apk
2025-08-14 06:26
54K
py3-pyzor-1.1.2-r0.apk
2025-08-14 06:26
40K
py3-pyvcd-pyc-0.4.1-r0.apk
2024-11-11 21:46
40K
py3-pyvcd-0.4.1-r0.apk
2024-11-11 21:46
23K
py3-python-stdnum-pyc-1.20-r0.apk
2024-10-25 19:02
293K
py3-python-stdnum-1.20-r0.apk
2024-10-25 19:02
806K
py3-python-logstash-pyc-0.4.8-r4.apk
2024-10-25 19:02
8.4K
py3-python-logstash-doc-0.4.8-r4.apk
2024-10-25 19:02
2.3K
py3-python-logstash-0.4.8-r4.apk
2024-10-25 19:02
8.6K
py3-python-jwt-pyc-4.1.0-r2.apk
2025-05-15 21:26
6.5K
py3-python-jwt-4.1.0-r2.apk
2025-05-15 21:26
8.1K
py3-python-iptables-pyc-1.2.0-r1.apk
2025-12-12 15:43
68K
py3-python-iptables-1.2.0-r1.apk
2025-12-12 15:43
38K
py3-python-archive-pyc-0.2-r7.apk
2024-10-25 19:02
9.3K
py3-python-archive-0.2-r7.apk
2024-10-25 19:02
7.4K
py3-pytest-textual-snapshot-pyc-1.1.0-r0.apk
2025-08-08 14:20
11K
py3-pytest-textual-snapshot-1.1.0-r0.apk
2025-08-08 14:20
9.2K
py3-pytest-subprocess-pyc-1.5.2-r0.apk
2024-10-25 19:02
25K
py3-pytest-subprocess-1.5.2-r0.apk
2024-10-25 19:02
20K
py3-pytest-regtest-pyc-2.3.5-r0.apk
2025-10-12 13:32
30K
py3-pytest-regtest-2.3.5-r0.apk
2025-10-12 13:32
16K
py3-pytest-metadata-pyc-3.1.1-r0.apk
2024-10-25 19:02
7.9K
py3-pytest-metadata-3.1.1-r0.apk
2024-10-25 19:02
10K
py3-pytest-html-pyc-4.1.1-r1.apk
2024-10-25 19:02
22K
py3-pytest-html-4.1.1-r1.apk
2024-10-25 19:02
22K
py3-pytest-home-pyc-0.6.0-r0.apk
2024-10-25 19:02
2.8K
py3-pytest-home-0.6.0-r0.apk
2024-10-25 19:02
4.5K
py3-pytest-expect-pyc-1.1.0-r10.apk
2024-10-25 19:02
7.0K
py3-pytest-expect-1.1.0-r10.apk
2024-10-25 19:02
5.9K
py3-pytest-datadir-pyc-1.8.0-r0.apk
2025-08-05 12:51
5.4K
py3-pytest-datadir-1.8.0-r0.apk
2025-08-05 12:51
7.1K
py3-pyte-pyc-0.8.2-r3.apk
2025-05-14 00:10
39K
py3-pyte-0.8.2-r3.apk
2025-05-14 00:10
30K
py3-pytap2-pyc-2.3.0-r0.apk
2024-10-25 19:02
6.0K
py3-pytap2-doc-2.3.0-r0.apk
2024-10-25 19:02
2.8K
py3-pytap2-2.3.0-r0.apk
2024-10-25 19:02
7.0K
py3-pytaglib-pyc-3.0.0-r0.apk
2025-01-26 20:44
2.9K
py3-pytaglib-3.0.0-r0.apk
2025-01-26 20:44
39K
py3-pysubs2-pyc-1.8.0-r0.apk
2024-12-25 22:09
68K
py3-pysubs2-1.8.0-r0.apk
2024-12-25 22:09
36K
py3-pystache-pyc-0.6.5-r1.apk
2024-10-25 19:02
97K
py3-pystache-0.6.5-r1.apk
2024-10-25 19:02
68K
py3-pysrt-pyc-1.1.2-r5.apk
2025-05-14 18:16
23K
py3-pysrt-1.1.2-r5.apk
2025-05-14 18:16
25K
py3-pyspinel-pyc-1.0.3-r1.apk
2024-10-25 19:02
63K
py3-pyspinel-1.0.3-r1.apk
2024-10-25 19:02
56K
py3-pysonic-pyc-1.0.3-r0.apk
2025-04-16 22:35
32K
py3-pysonic-1.0.3-r0.apk
2025-04-16 22:35
35K
py3-pysimplesoap-pyc-1.16.2-r7.apk
2024-10-25 19:02
78K
py3-pysimplesoap-1.16.2-r7.apk
2024-10-25 19:02
44K
py3-pyscreeze-pyc-0.1.29-r3.apk
2024-10-25 19:02
14K
py3-pyscreeze-0.1.29-r3.apk
2024-10-25 19:02
14K
py3-pyroma-pyc-4.2-r0.apk
2024-10-25 19:02
26K
py3-pyroma-4.2-r0.apk
2024-10-25 19:02
22K
py3-pyqrcode-pyc-1.2.1-r0.apk
2024-10-25 19:02
47K
py3-pyqrcode-doc-1.2.1-r0.apk
2024-10-25 19:02
4.3K
py3-pyqrcode-1.2.1-r0.apk
2024-10-25 19:02
37K
py3-pypubsub-pyc-4.0.3-r0.apk
2024-10-25 19:02
90K
py3-pypubsub-doc-4.0.3-r0.apk
2024-10-25 19:02
2.1K
py3-pypubsub-4.0.3-r0.apk
2024-10-25 19:02
52K
py3-pyparted-pyc-3.13.0-r1.apk
2024-10-25 19:02
42K
py3-pyparted-3.13.0-r1.apk
2024-10-25 19:02
76K
py3-pypandoc-pyc-1.15-r0.apk
2025-02-22 14:39
23K
py3-pypandoc-1.15-r0.apk
2025-02-22 14:39
21K
py3-pynest2d-5.2.2-r5.apk
2025-02-06 04:45
246K
py3-pymupdf-pyc-1.26.4-r2.apk
2025-12-19 20:33
500K
py3-pymupdf-1.26.4-r2.apk
2025-12-19 20:33
318K
py3-pymsteams-pyc-0.2.5-r0.apk
2025-02-22 14:39
6.5K
py3-pymsteams-0.2.5-r0.apk
2025-02-22 14:39
12K
py3-pymsgbox-pyc-1.0.9-r5.apk
2024-10-25 19:02
9.8K
py3-pymsgbox-1.0.9-r5.apk
2024-10-25 19:02
9.2K
py3-pymeta3-pyc-0.5.1-r6.apk
2024-10-25 19:02
32K
py3-pymeta3-0.5.1-r6.apk
2024-10-25 19:02
17K
py3-pymata4-pyc-1.15-r4.apk
2024-10-25 19:02
31K
py3-pymata4-1.15-r4.apk
2024-10-25 19:02
23K
py3-pymata-pyc-2.20-r4.apk
2024-10-25 19:02
29K
py3-pymata-2.20-r4.apk
2024-10-25 19:02
22K
py3-pymaging-pyc-0.0.20130908-r10.apk
2024-10-25 19:02
32K
py3-pymaging-png-pyc-0.0.20130727-r10.apk
2024-10-25 19:02
52K
py3-pymaging-png-0.0.20130727-r10.apk
2024-10-25 19:02
35K
py3-pymaging-0.0.20130908-r10.apk
2024-10-25 19:02
18K
py3-pylru-pyc-1.2.1-r1.apk
2024-10-25 19:02
8.9K
py3-pylru-1.2.1-r1.apk
2024-10-25 19:02
17K
py3-pyisbn-pyc-1.3.1-r3.apk
2024-10-25 19:02
9.4K
py3-pyisbn-1.3.1-r3.apk
2024-10-25 19:02
20K
py3-pyinstaller-pyc-6.6.0-r0.apk
2024-10-25 19:02
502K
py3-pyinstaller-6.6.0-r0.apk
2024-10-25 19:02
1.9M
py3-pygtail-pyc-0.14.0-r3.apk
2024-10-25 19:02
10K
py3-pygtail-0.14.0-r3.apk
2024-10-25 19:02
15K
py3-pygpgme-pyc-0.3.1-r10.apk
2026-01-17 22:42
5.1K
py3-pygpgme-0.3.1-r10.apk
2026-01-17 22:42
36K
py3-pyglm-2.7.3-r0.apk
2024-11-06 09:41
1.3M
py3-pyglet-pyc-2.1.5-r1.apk
2025-08-27 14:45
1.6M
py3-pyglet-2.1.5-r1.apk
2025-08-27 14:45
890K
py3-pygfm-pyc-2.0.0-r2.apk
2024-10-25 19:02
13K
py3-pygfm-2.0.0-r2.apk
2024-10-25 19:02
13K
py3-pygelbooru-pyc-1.0.0-r0.apk
2025-07-30 04:28
11K
py3-pygelbooru-1.0.0-r0.apk
2025-07-30 04:28
20K
py3-pydes-pyc-2.0.1-r5.apk
2024-10-25 19:02
13K
py3-pydes-doc-2.0.1-r5.apk
2024-10-25 19:02
3.6K
py3-pydes-2.0.1-r5.apk
2024-10-25 19:02
11K
py3-pycosat-0.6.6-r2.apk
2024-10-25 19:02
45K
py3-pycolorterm-pyc-0.2.1-r6.apk
2024-10-25 19:02
3.7K
py3-pycolorterm-0.2.1-r6.apk
2024-10-25 19:02
5.5K
py3-pycaption-2.2.15-r0.apk
2024-10-25 19:02
360K
py3-pybars3-pyc-0.9.7-r6.apk
2024-10-25 19:02
17K
py3-pybars3-0.9.7-r6.apk
2024-10-25 19:02
15K
py3-pyautogui-pyc-0.9.53-r5.apk
2024-10-25 19:02
45K
py3-pyautogui-0.9.53-r5.apk
2024-10-25 19:02
35K
py3-pyatem-pyc-0.5.0-r4.apk
2024-10-25 19:02
92K
py3-pyatem-0.5.0-r4.apk
2024-10-25 19:02
52K
py3-py-radix-pyc-0.10.0-r11.apk
2025-12-30 21:01
11K
py3-py-radix-0.10.0-r11.apk
2025-12-30 21:01
21K
py3-pure_protobuf-pyc-3.1.2-r0.apk
2024-10-25 19:02
38K
py3-pure_protobuf-3.1.2-r0.apk
2024-10-25 19:02
21K
py3-proxmoxer-pyc-2.2.0-r0.apk
2024-12-16 11:36
28K
py3-proxmoxer-2.2.0-r0.apk
2024-12-16 11:36
17K
py3-protego-pyc-0.3.1-r0.apk
2024-11-30 20:18
12K
py3-protego-0.3.1-r0.apk
2024-11-30 20:18
9.3K
py3-proglog-pyc-0.1.10-r2.apk
2024-10-25 19:02
9.9K
py3-proglog-0.1.10-r2.apk
2024-10-25 19:02
7.4K
py3-print-color-pyc-0.4.6-r0.apk
2024-10-25 19:02
5.0K
py3-print-color-doc-0.4.6-r0.apk
2024-10-25 19:02
2.6K
py3-print-color-0.4.6-r0.apk
2024-10-25 19:02
8.9K
py3-prefixed-pyc-0.9.0-r0.apk
2025-08-28 20:04
8.6K
py3-prefixed-0.9.0-r0.apk
2025-08-28 20:04
14K
py3-prctl-pyc-1.8.1-r0.apk
2025-10-20 13:50
7.2K
py3-prctl-1.8.1-r0.apk
2025-10-20 13:50
12K
py3-pprintpp-pyc-0.4.0-r1.apk
2024-10-25 19:02
16K
py3-pprintpp-0.4.0-r1.apk
2024-10-25 19:02
14K
py3-ppk2-api-pyc-0.9.2-r0.apk
2024-11-30 21:06
17K
py3-ppk2-api-0.9.2-r0.apk
2024-11-30 21:06
16K
py3-poppler-qt5-21.3.0-r2.apk
2025-01-29 20:02
120K
py3-poetry-dynamic-versioning-pyc-1.9.1-r0.apk
2025-07-26 00:18
26K
py3-poetry-dynamic-versioning-1.9.1-r0.apk
2025-07-26 00:18
21K
py3-pockethernet-pyc-0.7.0-r4.apk
2024-10-25 19:02
25K
py3-pockethernet-0.7.0-r4.apk
2024-10-25 19:02
15K
py3-pltable-pyc-1.1.0-r1.apk
2024-11-13 06:43
33K
py3-pltable-1.1.0-r1.apk
2024-11-13 06:43
19K
py3-plotly-5.24.1-r2.apk
2025-04-18 22:14
20M
py3-playsound-pyc-1.3.0-r1.apk
2024-10-25 19:02
8.5K
py3-playsound-1.3.0-r1.apk
2024-10-25 19:02
6.9K
py3-piper-tts-2023.11.14.2-r14.apk
2025-07-12 16:07
41K
py3-piper-phonemize-pyc-2023.11.14.4-r9.apk
2025-07-12 16:07
3.3K
py3-piper-phonemize-2023.11.14.4-r9.apk
2025-07-12 16:07
143K
py3-pip-system-certs-pyc-4.0-r1.apk
2024-10-25 19:02
4.7K
py3-pip-system-certs-4.0-r1.apk
2024-10-25 19:02
7.0K
py3-pillow_heif-pyc-0.18.0-r0.apk
2024-10-25 19:02
36K
py3-pillow_heif-0.18.0-r0.apk
2024-10-25 19:02
43K
py3-piccata-pyc-2.0.3-r1.apk
2024-10-25 19:02
34K
py3-piccata-2.0.3-r1.apk
2024-10-25 19:02
20K
py3-phx-class-registry-pyc-5.0.0-r0.apk
2024-10-25 19:02
17K
py3-phx-class-registry-doc-5.0.0-r0.apk
2024-10-25 19:02
2.2K
py3-phx-class-registry-5.0.0-r0.apk
2024-10-25 19:02
13K
py3-phpserialize-pyc-1.3-r8.apk
2024-10-25 19:02
11K
py3-phpserialize-1.3-r8.apk
2024-10-25 19:02
8.9K
py3-pep8-naming-pyc-0.14.1-r0.apk
2024-10-25 19:02
13K
py3-pep8-naming-0.14.1-r0.apk
2024-10-25 19:02
9.8K
py3-pelican-pyc-4.9.1-r2.apk
2024-10-25 19:02
147K
py3-pelican-4.9.1-r2.apk
2024-10-25 19:02
234K
py3-pdoc-pyc-15.0.4-r0.apk
2025-10-12 21:20
169K
py3-pdoc-15.0.4-r0.apk
2025-10-12 21:20
133K
py3-pdal-pyc-3.5.3-r0.apk
2026-01-19 16:04
14K
py3-pdal-3.5.3-r0.apk
2026-01-19 16:04
193K
py3-pcbnewtransition-pyc-0.5.2-r0.apk
2025-07-12 15:20
9.9K
py3-pcbnewtransition-0.5.2-r0.apk
2025-07-12 15:20
7.9K
py3-pbkdf2-pyc-1.3-r7.apk
2024-10-25 19:02
7.1K
py3-pbkdf2-1.3-r7.apk
2024-10-25 19:02
6.3K
py3-pathvalidate-pyc-3.3.1-r0.apk
2025-07-12 15:21
34K
py3-pathvalidate-3.3.1-r0.apk
2025-07-12 15:21
19K
py3-pam-pyc-2.0.2-r2.apk
2024-10-25 19:02
13K
py3-pam-2.0.2-r2.apk
2024-10-25 19:02
11K
py3-padacioso-pyc-0.2.1-r0.apk
2024-10-25 19:02
12K
py3-padacioso-0.2.1-r0.apk
2024-10-25 19:02
11K
py3-pacparser-pyc-1.4.5-r1.apk
2024-10-25 19:02
4.0K
py3-pacparser-1.4.5-r1.apk
2024-10-25 19:02
408K
py3-owslib-pyc-0.35.0-r0.apk
2025-11-02 19:15
425K
py3-owslib-0.35.0-r0.apk
2025-11-02 19:15
195K
py3-ovos-ww-plugin-vosk-pyc-0.1.7-r0.apk
2025-07-15 19:29
13K
py3-ovos-ww-plugin-vosk-0.1.7-r0.apk
2025-07-15 19:29
11K
py3-ovos-workshop-pyc-7.0.6-r0.apk
2025-07-15 19:29
165K
py3-ovos-workshop-7.0.6-r0.apk
2025-07-15 19:29
92K
py3-ovos-vad-plugin-webrtcvad-pyc-0.0.1-r1.apk
2024-10-25 19:02
4.4K
py3-ovos-vad-plugin-webrtcvad-0.0.1-r1.apk
2024-10-25 19:02
4.5K
py3-ovos-utils-pyc-0.8.1-r0.apk
2025-07-15 20:03
130K
py3-ovos-utils-0.8.1-r0.apk
2025-07-15 20:03
73K
py3-ovos-tts-server-plugin-pyc-0.0.2_alpha13-r1.apk
2024-10-25 19:02
5.4K
py3-ovos-tts-server-plugin-0.0.2_alpha13-r1.apk
2024-10-25 19:02
9.5K
py3-ovos-tts-plugin-piper-pyc-0.0.1-r0.apk
2024-10-25 19:02
11K
py3-ovos-tts-plugin-piper-0.0.1-r0.apk
2024-10-25 19:02
12K
py3-ovos-translate-server-plugin-pyc-0.0.0-r0.apk
2024-10-25 19:02
4.1K
py3-ovos-translate-server-plugin-0.0.0-r0.apk
2024-10-25 19:02
8.3K
py3-ovos-stt-plugin-server-pyc-0.0.4_alpha4-r1.apk
2024-10-25 19:02
6.8K
py3-ovos-stt-plugin-server-0.0.4_alpha4-r1.apk
2024-10-25 19:02
10K
py3-ovos-plugin-manager-pyc-1.0.3-r0.apk
2025-07-15 19:34
167K
py3-ovos-plugin-manager-1.0.3-r0.apk
2025-07-15 19:34
86K
py3-ovos-phal-plugin-system-pyc-1.3.3-r0.apk
2025-07-15 16:49
10K
py3-ovos-phal-plugin-system-1.3.3-r0.apk
2025-07-15 16:49
11K
py3-ovos-phal-plugin-oauth-pyc-0.1.3-r0.apk
2024-11-21 13:32
9.7K
py3-ovos-phal-plugin-oauth-0.1.3-r0.apk
2024-11-21 13:32
12K
py3-ovos-phal-plugin-network-manager-pyc-1.3.2-r0.apk
2024-11-21 13:32
11K
py3-ovos-phal-plugin-network-manager-1.3.2-r0.apk
2024-11-21 13:32
95K
py3-ovos-phal-plugin-ipgeo-pyc-0.0.2-r1.apk
2024-10-25 19:02
4.4K
py3-ovos-phal-plugin-ipgeo-0.0.2-r1.apk
2024-10-25 19:02
4.5K
py3-ovos-phal-plugin-connectivity-events-pyc-0.1.2-r0.apk
2025-07-15 20:03
5.1K
py3-ovos-phal-plugin-connectivity-events-0.1.2-r0.apk
2025-07-15 20:03
8.8K
py3-ovos-ocp-rss-plugin-pyc-0.1.1-r0.apk
2025-07-15 20:03
3.9K
py3-ovos-ocp-rss-plugin-0.1.1-r0.apk
2025-07-15 20:03
8.2K
py3-ovos-ocp-news-plugin-pyc-0.1.1-r0.apk
2025-07-15 20:03
8.9K
py3-ovos-ocp-news-plugin-0.1.1-r0.apk
2025-07-15 20:03
11K
py3-ovos-ocp-m3u-plugin-pyc-0.0.2-r0.apk
2024-10-25 19:02
3.4K
py3-ovos-ocp-m3u-plugin-0.0.2-r0.apk
2024-10-25 19:02
8.1K
py3-ovos-ocp-files-plugin-pyc-0.13.1-r0.apk
2024-10-25 19:02
104K
py3-ovos-ocp-files-plugin-0.13.1-r0.apk
2024-10-25 19:02
47K
py3-ovos-ocp-audio-plugin-pyc-0.0.6_alpha2-r3.apk
2024-10-25 19:02
86K
py3-ovos-ocp-audio-plugin-0.0.6_alpha2-r3.apk
2024-10-25 19:02
550K
py3-ovos-microphone-plugin-alsa-pyc-0.1.2-r0.apk
2025-07-15 20:03
4.8K
py3-ovos-microphone-plugin-alsa-0.1.2-r0.apk
2025-07-15 20:03
8.9K
py3-ovos-lingua-franca-pyc-0.4.8_alpha3-r2.apk
2024-10-25 19:02
437K
py3-ovos-lingua-franca-0.4.8_alpha3-r2.apk
2024-10-25 19:02
358K
py3-ovos-config-pyc-2.1.1-r0.apk
2025-07-15 18:37
35K
py3-ovos-config-2.1.1-r0.apk
2025-07-15 18:37
47K
py3-ovos-classifiers-pyc-0.0.0_alpha53-r0.apk
2024-10-25 19:02
164K
py3-ovos-classifiers-0.0.0_alpha53-r0.apk
2024-10-25 19:02
103K
py3-ovos-bus-client-pyc-1.3.4-r0.apk
2025-04-25 10:49
89K
py3-ovos-bus-client-1.3.4-r0.apk
2025-04-25 10:49
50K
py3-ovos-backend-client-pyc-1.0.0-r0.apk
2024-10-25 19:02
91K
py3-ovos-backend-client-1.0.0-r0.apk
2024-10-25 19:02
46K
py3-ovos-audio-plugin-simple-pyc-0.0.1-r0.apk
2024-10-25 19:02
8.2K
py3-ovos-audio-plugin-simple-0.0.1-r0.apk
2024-10-25 19:02
10K
py3-osqp-pyc-0.6.2-r6.apk
2024-10-25 19:02
77K
py3-osqp-dev-0.6.2-r6.apk
2024-10-25 19:02
49K
py3-osqp-0.6.2-r6.apk
2024-10-25 19:02
104K
py3-orderedmultidict-pyc-1.0.1-r7.apk
2024-10-25 19:02
17K
py3-orderedmultidict-1.0.1-r7.apk
2024-10-25 19:02
12K
py3-openwisp-utils-pyc-1.0.4-r4.apk
2024-10-25 19:02
42K
py3-openwisp-utils-1.0.4-r4.apk
2024-10-25 19:02
498K
py3-openssh-wrapper-pyc-0.5_git20130425-r4.apk
2024-10-25 19:02
10K
py3-openssh-wrapper-0.5_git20130425-r4.apk
2024-10-25 19:02
8.2K
py3-opendht-3.1.11-r0.apk
2025-01-29 16:00
154K
py3-openapi-codec-pyc-1.3.2-r9.apk
2024-10-25 19:02
12K
py3-openapi-codec-1.3.2-r9.apk
2024-10-25 19:02
7.6K
py3-okonomiyaki-pyc-2.0.0-r1.apk
2025-10-14 19:03
243K
py3-okonomiyaki-2.0.0-r1.apk
2025-10-14 19:03
7.9M
py3-nwdiag-pyc-3.0.0-r3.apk
2024-10-25 19:02
78K
py3-nwdiag-3.0.0-r3.apk
2024-10-25 19:02
4.9M
py3-numpy-stl-pyc-3.2.0-r0.apk
2024-12-01 02:04
28K
py3-numpy-stl-3.2.0-r0.apk
2024-12-01 02:04
21K
py3-ntplib-pyc-0.4.0-r5.apk
2024-10-25 19:02
8.6K
py3-ntplib-0.4.0-r5.apk
2024-10-25 19:02
7.4K
py3-nptyping-pyc-2.5.0-r3.apk
2024-10-25 19:02
32K
py3-nptyping-2.5.0-r3.apk
2024-10-25 19:02
21K
py3-notifymail-pyc-1.1-r8.apk
2024-10-25 19:02
5.7K
py3-notifymail-1.1-r8.apk
2024-10-25 19:02
7.6K
py3-nose-timer-pyc-1.0.1-r6.apk
2024-10-25 19:02
9.9K
py3-nose-timer-1.0.1-r6.apk
2024-10-25 19:02
9.4K
py3-nmap-pyc-0.7.1-r4.apk
2024-10-25 19:02
25K
py3-nmap-0.7.1-r4.apk
2024-10-25 19:02
20K
py3-nikola-pyc-8.3.3-r0.apk
2025-10-11 10:49
545K
py3-nikola-doc-8.3.3-r0.apk
2025-10-11 10:49
61K
py3-nikola-8.3.3-r0.apk
2025-10-11 10:49
4.3M
py3-netmiko-pyc-4.6.0-r0.apk
2025-08-13 15:31
372K
py3-netmiko-4.6.0-r0.apk
2025-08-13 15:31
192K
py3-netifaces2-pyc-0.0.22-r0.apk
2024-10-25 19:02
9.2K
py3-netifaces2-0.0.22-r0.apk
2024-10-25 19:02
189K
py3-ncclient-pyc-0.6.13-r5.apk
2024-10-25 19:02
107K
py3-ncclient-0.6.13-r5.apk
2024-10-25 19:02
68K
py3-natpmp-pyc-1.3.2-r1.apk
2024-10-25 19:02
9.9K
py3-natpmp-1.3.2-r1.apk
2024-10-25 19:02
9.4K
py3-mss-10.0.0-r0.apk
2024-11-14 13:11
51K
py3-msldap-pyc-0.5.15-r2.apk
2025-10-17 16:26
330K
py3-msldap-examples-0.5.15-r2.apk
2025-10-17 16:26
18K
py3-msldap-0.5.15-r2.apk
2025-10-17 16:26
127K
py3-moviepy-pyc-1.0.3-r6.apk
2024-10-25 19:02
156K
py3-moviepy-1.0.3-r6.apk
2024-10-25 19:02
94K
py3-more-properties-pyc-1.1.1-r3.apk
2024-10-25 19:02
8.2K
py3-more-properties-1.1.1-r3.apk
2024-10-25 19:02
7.4K
py3-mopidy-tidal-pyc-0.3.2-r6.apk
2024-10-25 19:02
36K
py3-mopidy-tidal-0.3.2-r6.apk
2024-10-25 19:02
25K
py3-mopidy-spotify-pyc-5.0.0_alpha3-r0.apk
2024-10-25 19:02
39K
py3-mopidy-spotify-5.0.0_alpha3-r0.apk
2024-10-25 19:02
24K
py3-mopidy-mpd-pyc-3.3.0-r4.apk
2024-10-25 19:02
74K
py3-mopidy-mpd-3.3.0-r4.apk
2024-10-25 19:02
46K
py3-mopidy-local-pyc-3.3.0-r0.apk
2025-01-01 22:24
34K
py3-mopidy-local-3.3.0-r0.apk
2025-01-01 22:24
28K
py3-mopidy-jellyfin-pyc-1.0.4-r4.apk
2024-10-25 19:02
37K
py3-mopidy-jellyfin-1.0.4-r4.apk
2024-10-25 19:02
25K
py3-modern_colorthief-pyc-0.1.7-r0.apk
2025-06-16 17:58
2.6K
py3-modern_colorthief-0.1.7-r0.apk
2025-06-16 17:58
758K
py3-modbus-tk-pyc-1.1.1-r4.apk
2024-10-25 19:02
48K
py3-modbus-tk-1.1.1-r4.apk
2024-10-25 19:02
25K
py3-mnemonic-pyc-0.21-r0.apk
2024-10-25 19:02
9.7K
py3-mnemonic-doc-0.21-r0.apk
2024-10-25 19:02
2.4K
py3-mnemonic-0.21-r0.apk
2024-10-25 19:02
95K
py3-mistune1-pyc-0.8.4-r6.apk
2025-11-09 18:54
21K
py3-mistune1-0.8.4-r6.apk
2025-11-09 18:54
14K
py3-mistletoe-pyc-1.4.0-r0.apk
2025-03-21 16:21
93K
py3-mistletoe-1.4.0-r0.apk
2025-03-21 16:21
45K
py3-minio-pyc-7.2.18-r0.apk
2025-11-10 03:17
155K
py3-minio-7.2.18-r0.apk
2025-11-10 03:17
75K
py3-minikerberos-pyc-0.4.9-r0.apk
2025-11-03 08:48
287K
py3-minikerberos-examples-0.4.9-r0.apk
2025-11-03 08:48
17K
py3-minikerberos-0.4.9-r0.apk
2025-11-03 08:48
123K
py3-minidump-pyc-0.0.24-r1.apk
2025-05-29 12:00
129K
py3-minidump-0.0.24-r1.apk
2025-05-29 12:00
64K
py3-minidb-pyc-2.0.8-r0.apk
2024-11-13 20:04
23K
py3-minidb-2.0.8-r0.apk
2024-11-13 20:04
10K
py3-milc-pyc-1.9.1-r0.apk
2025-01-25 16:04
41K
py3-milc-1.9.1-r0.apk
2025-01-25 16:04
26K
py3-migen-pyc-0.9.2-r2.apk
2024-10-25 19:02
296K
py3-migen-0.9.2-r2.apk
2024-10-25 19:02
143K
py3-meshtastic-2.7.2-r0.apk
2025-09-16 14:05
540K
py3-mbedtls-pyc-2.10.1-r3.apk
2025-05-29 12:00
27K
py3-mbedtls-2.10.1-r3.apk
2025-05-29 12:00
949K
py3-marshmallow-pyc-3.26.1-r0.apk
2025-02-22 14:39
85K
py3-marshmallow-enum-pyc-1.5.1-r7.apk
2024-10-25 19:02
4.5K
py3-marshmallow-enum-1.5.1-r7.apk
2024-10-25 19:02
5.3K
py3-marshmallow-3.26.1-r0.apk
2025-02-22 14:39
48K
py3-markdownify-pyc-1.2.2-r0.apk
2025-11-27 16:00
18K
py3-markdownify-1.2.2-r0.apk
2025-11-27 16:00
16K
py3-markdown2-pyc-2.5.0-r0.apk
2024-10-25 19:02
75K
py3-markdown2-2.5.0-r0.apk
2024-10-25 19:02
47K
py3-marisa-trie-1.3.1-r0.apk
2025-08-29 09:31
133K
py3-mapbox-earcut-1.0.1-r2.apk
2024-10-25 19:02
59K
py3-manuel-pyc-1.13.0-r1.apk
2025-10-14 15:04
26K
py3-manuel-1.13.0-r1.apk
2025-10-14 15:04
39K
py3-mando-pyc-0.8.2-r0.apk
2025-12-18 11:03
35K
py3-mando-doc-0.8.2-r0.apk
2025-12-18 11:03
4.2K
py3-mando-0.8.2-r0.apk
2025-12-18 11:03
22K
py3-maidenhead-pyc-1.8.0-r0.apk
2025-08-10 21:47
8.0K
py3-maidenhead-doc-1.8.0-r0.apk
2025-08-10 21:47
3.7K
py3-maidenhead-1.8.0-r0.apk
2025-08-10 21:47
7.9K
py3-m2crypto-pyc-0.41.0-r2.apk
2024-10-25 19:02
123K
py3-m2crypto-0.41.0-r2.apk
2024-10-25 19:02
190K
py3-lzo-pyc-1.16-r1.apk
2024-10-25 19:02
2.0K
py3-lzo-1.16-r1.apk
2024-10-25 19:02
16K
py3-ly-pyc-0.9.9-r0.apk
2025-07-12 21:56
352K
py3-ly-doc-0.9.9-r0.apk
2025-07-12 21:56
8.1K
py3-ly-0.9.9-r0.apk
2025-07-12 21:56
187K
py3-lxmf-pyc-0.8.0-r0.apk
2025-09-23 22:32
109K
py3-lxmf-0.8.0-r0.apk
2025-09-23 22:32
51K
py3-lunr-pyc-0.6.2-r4.apk
2024-10-25 19:02
51K
py3-lunr-0.6.2-r4.apk
2024-10-25 19:02
32K
py3-luhn-pyc-0.2.0-r9.apk
2024-10-25 19:02
2.6K
py3-luhn-0.2.0-r9.apk
2024-10-25 19:02
4.0K
py3-lsprotocol-pyc-2023.0.1-r1.apk
2024-10-25 19:02
107K
py3-lsprotocol-2023.0.1-r1.apk
2024-10-25 19:02
69K
py3-lsp-mypy-pyc-0.7.0-r1.apk
2025-08-30 01:39
13K
py3-lsp-mypy-0.7.0-r1.apk
2025-08-30 01:39
13K
py3-lsp-black-pyc-2.0.0-r1.apk
2024-10-25 19:02
6.4K
py3-lsp-black-2.0.0-r1.apk
2024-10-25 19:02
7.5K
py3-logtop-pyc-0.7-r1.apk
2025-08-09 16:28
4.1K
py3-logtop-0.7-r1.apk
2025-08-09 16:28
21K
py3-log-symbols-pyc-0.0.14-r5.apk
2024-10-25 19:02
3.1K
py3-log-symbols-0.0.14-r5.apk
2024-10-25 19:02
4.2K
py3-livestream-pyc-2.1.0-r0.apk
2024-11-25 22:22
30K
py3-livestream-2.1.0-r0.apk
2024-11-25 22:22
766K
py3-litex-pyc-2024.04-r0.apk
2024-10-25 19:02
2.6M
py3-litex-hub-valentyusb-2024.04-r0.apk
2024-10-25 19:02
112K
py3-litex-hub-pythondata-software-picolibc-2024.04-r0.apk
2024-10-25 19:02
4.7M
py3-litex-hub-pythondata-software-compiler_rt-2024.04-r0.apk
2024-10-25 19:02
2.2M
py3-litex-hub-pythondata-misc-tapcfg-2024.04-r0.apk
2024-10-25 19:02
58K
py3-litex-hub-pythondata-cpu-vexriscv_smp-2024.04-r0.apk
2024-10-25 19:02
2.4M
py3-litex-hub-pythondata-cpu-vexriscv-2024.04-r0.apk
2024-10-25 19:02
713K
py3-litex-hub-pythondata-cpu-serv-2024.04-r0.apk
2024-10-25 19:02
675K
py3-litex-hub-pythondata-cpu-rocket-2024.04-r0.apk
2024-10-25 19:02
57M
py3-litex-hub-pythondata-cpu-picorv32-2024.04-r0.apk
2024-10-25 19:02
230K
py3-litex-hub-pythondata-cpu-naxriscv-2024.04-r0.apk
2024-10-25 19:02
7.6K
py3-litex-hub-pythondata-cpu-mor1kx-2024.04-r0.apk
2024-10-25 19:02
221K
py3-litex-hub-pythondata-cpu-minerva-2024.04-r0.apk
2024-10-25 19:02
45K
py3-litex-hub-pythondata-cpu-microwatt-2024.04-r0.apk
2024-10-25 19:02
19M
py3-litex-hub-pythondata-cpu-marocchino-2024.04-r0.apk
2024-10-25 19:02
208K
py3-litex-hub-pythondata-cpu-lm32-2024.04-r0.apk
2024-10-25 19:02
112K
py3-litex-hub-pythondata-cpu-ibex-2024.04-r0.apk
2024-10-25 19:02
1.8M
py3-litex-hub-pythondata-cpu-cva6-2024.04-r0.apk
2024-10-25 19:02
10M
py3-litex-hub-pythondata-cpu-cva5-2024.04-r0.apk
2024-10-25 19:02
500K
py3-litex-hub-pythondata-cpu-cv32e41p-2024.04-r0.apk
2024-10-25 19:02
934K
py3-litex-hub-pythondata-cpu-cv32e40p-2024.04-r0.apk
2024-10-25 19:02
1.9M
py3-litex-hub-pythondata-cpu-blackparrot-2024.04-r0.apk
2024-10-25 19:02
5.6M
py3-litex-hub-modules-pyc-2024.04-r0.apk
2024-10-25 19:02
1.1M
py3-litex-hub-modules-2024.04-r0.apk
2024-10-25 19:02
1.6K
py3-litex-full-2024.04-r0.apk
2024-10-25 19:02
1.6K
py3-litex-boards-2024.04-r0.apk
2024-10-25 19:02
390K
py3-litex-2024.04-r0.apk
2024-10-25 19:02
1.3M
py3-litespi-2024.04-r0.apk
2024-10-25 19:02
32K
py3-litesdcard-2024.04-r0.apk
2024-10-25 19:02
17K
py3-litescope-2024.04-r0.apk
2024-10-25 19:02
16K
py3-litesata-2024.04-r0.apk
2024-10-25 19:02
62K
py3-litepcie-2024.04-r0.apk
2024-10-25 19:02
108K
py3-litejesd204b-2024.04-r0.apk
2024-10-25 19:02
13K
py3-liteiclink-2024.04-r0.apk
2024-10-25 19:02
82K
py3-liteeth-2024.04-r0.apk
2024-10-25 19:02
103K
py3-litedram-2024.04-r0.apk
2024-10-25 19:02
176K
py3-linkify-it-py-pyc-2.0.3-r1.apk
2024-10-25 19:02
23K
py3-linkify-it-py-2.0.3-r1.apk
2024-10-25 19:02
21K
py3-limits-pyc-3.14.1-r0.apk
2024-12-25 19:00
71K
py3-limits-3.14.1-r0.apk
2024-12-25 19:00
33K
py3-librtmp-pyc-0.3.0-r6.apk
2024-10-25 19:02
25K
py3-librtmp-0.3.0-r6.apk
2024-10-25 19:02
34K
py3-libpyshell-pyc-0.4.1-r1.apk
2025-06-10 09:42
18K
py3-libpyshell-0.4.1-r1.apk
2025-06-10 09:42
12K
py3-libnacl-pyc-2.1.0-r1.apk
2024-10-25 19:02
30K
py3-libnacl-2.1.0-r1.apk
2024-10-25 19:02
20K
py3-libmdbx-pyc-0.10.2-r7.apk
2024-10-25 19:02
33K
py3-libmdbx-0.10.2-r7.apk
2024-10-25 19:02
28K
py3-liblarch-pyc-3.2.0-r6.apk
2024-12-08 21:48
50K
py3-liblarch-3.2.0-r6.apk
2024-12-08 21:48
30K
py3-libiio-0.25-r2.apk
2024-10-25 19:02
13K
py3-libguestfs-1.56.1-r0.apk
2025-07-22 22:16
178K
py3-libacl-0.7.3-r0.apk
2025-10-16 23:45
25K
py3-lib_users-pyc-0.15-r4.apk
2024-10-25 19:02
9.5K
py3-lib_users-0.15-r4.apk
2024-10-25 19:02
16K
py3-latex2mathml-pyc-3.78.1-r1.apk
2025-09-29 19:06
35K
py3-latex2mathml-3.78.1-r1.apk
2025-09-29 19:06
72K
py3-language-data-pyc-1.3.0-r0.apk
2024-12-01 20:08
3.0M
py3-language-data-1.3.0-r0.apk
2024-12-01 20:08
5.0M
py3-langcodes-pyc-3.3.0-r2.apk
2024-10-25 19:02
110K
py3-langcodes-3.3.0-r2.apk
2024-10-25 19:02
174K
py3-landlock-pyc-1.0.0_pre4-r2.apk
2024-10-25 19:02
9.5K
py3-landlock-1.0.0_pre4-r2.apk
2024-10-25 19:02
8.4K
py3-knowit-pyc-0.5.11-r0.apk
2025-11-24 01:06
62K
py3-knowit-0.5.11-r0.apk
2025-11-24 01:06
32K
py3-kikit-pyc-1.7.2-r0.apk
2025-05-10 03:12
277K
py3-kikit-1.7.2-r0.apk
2025-05-10 03:12
239K
py3-kerberos-1.3.1-r5.apk
2024-10-25 19:02
17K
py3-keepalive-pyc-0.5-r5.apk
2024-10-25 19:02
13K
py3-keepalive-doc-0.5-r5.apk
2024-10-25 19:02
2.0K
py3-keepalive-0.5-r5.apk
2024-10-25 19:02
9.0K
py3-kazoo-pyc-0_git20211202-r4.apk
2024-10-25 19:02
245K
py3-kazoo-0_git20211202-r4.apk
2024-10-25 19:02
125K
py3-joserfc-pyc-1.5.0-r0.apk
2025-12-04 22:48
110K
py3-joserfc-1.5.0-r0.apk
2025-12-04 22:48
54K
py3-jaraco.versioning-pyc-1.1.0-r0.apk
2024-10-25 19:02
6.1K
py3-jaraco.versioning-1.1.0-r0.apk
2024-10-25 19:02
5.9K
py3-jaraco.vcs-pyc-2.4.1-r0.apk
2025-03-11 11:19
16K
py3-jaraco.vcs-2.4.1-r0.apk
2025-03-11 11:19
10K
py3-jaraco.stream-pyc-3.0.4-r0.apk
2024-12-14 22:59
8.1K
py3-jaraco.stream-3.0.4-r0.apk
2024-12-14 22:59
6.7K
py3-jaraco.path-pyc-3.7.2-r0.apk
2024-10-25 19:02
9.5K
py3-jaraco.path-3.7.2-r0.apk
2024-10-25 19:02
7.6K
py3-jaraco.logging-pyc-3.4.0-r0.apk
2025-06-17 22:14
6.1K
py3-jaraco.logging-3.4.0-r0.apk
2025-06-17 22:14
5.3K
py3-janus-pyc-1.2.0-r0.apk
2024-12-13 04:57
13K
py3-janus-1.2.0-r0.apk
2024-12-13 04:57
12K
py3-itunespy-pyc-1.6-r5.apk
2025-05-14 18:16
15K
py3-itunespy-1.6-r5.apk
2025-05-14 18:16
9.7K
py3-iterable-io-pyc-1.0.1-r0.apk
2026-01-08 13:53
5.4K
py3-iterable-io-1.0.1-r0.apk
2026-01-08 13:53
6.0K
py3-itemloaders-pyc-1.3.2-r1.apk
2025-08-09 16:28
17K
py3-itemloaders-1.3.2-r1.apk
2025-08-09 16:28
12K
py3-itemadapter-pyc-0.10.0-r0.apk
2024-11-30 20:42
13K
py3-itemadapter-0.10.0-r0.apk
2024-11-30 20:42
11K
py3-iso639-lang-pyc-2.6.3-r0.apk
2025-12-04 22:48
12K
py3-iso639-lang-2.6.3-r0.apk
2025-12-04 22:48
311K
py3-isbnlib-pyc-3.10.14-r0.apk
2025-01-19 14:15
67K
py3-isbnlib-3.10.14-r0.apk
2025-01-19 14:15
43K
py3-irc-pyc-20.4.1-r1.apk
2025-10-06 06:21
70K
py3-irc-20.4.1-r1.apk
2025-10-06 06:21
40K
py3-ioctl-opt-pyc-1.3-r0.apk
2025-01-29 16:00
4.6K
py3-ioctl-opt-1.3-r0.apk
2025-01-29 16:00
12K
py3-intervals-pyc-0.9.2-r5.apk
2024-10-25 19:02
15K
py3-intervals-0.9.2-r5.apk
2024-10-25 19:02
9.4K
py3-iniparse-pyc-0.5-r7.apk
2024-10-25 19:02
25K
py3-iniparse-doc-0.5-r7.apk
2024-10-25 19:02
10K
py3-iniparse-0.5-r7.apk
2024-10-25 19:02
19K
py3-infinity-pyc-1.5-r6.apk
2024-10-25 19:02
3.7K
py3-infinity-1.5-r6.apk
2024-10-25 19:02
4.4K
py3-incoming-pyc-0.3.1-r8.apk
2024-10-25 19:02
20K
py3-incoming-0.3.1-r8.apk
2024-10-25 19:02
13K
py3-imdbpy-pyc-2021.4.18-r5.apk
2024-10-25 19:02
243K
py3-imdbpy-2021.4.18-r5.apk
2024-10-25 19:02
229K
py3-imageio-pyc-2.37.0-r0.apk
2025-07-11 22:13
504K
py3-imageio-ffmpeg-pyc-0.4.9-r1.apk
2024-10-25 19:02
20K
py3-imageio-ffmpeg-0.4.9-r1.apk
2024-10-25 19:02
16K
py3-imageio-2.37.0-r0.apk
2025-07-11 22:13
285K
py3-igraph-pyc-1.0.0-r0.apk
2025-10-28 01:54
374K
py3-igraph-dev-1.0.0-r0.apk
2025-10-28 01:54
2.6K
py3-igraph-1.0.0-r0.apk
2025-10-28 01:54
409K
py3-icalendar-searcher-pyc-1.0.3-r0.apk
2025-12-07 19:14
26K
py3-icalendar-searcher-1.0.3-r0.apk
2025-12-07 19:14
35K
py3-hurry.filesize-pyc-0.9-r8.apk
2024-10-25 19:02
3.3K
py3-hurry.filesize-0.9-r8.apk
2024-10-25 19:02
4.6K
py3-html5-parser-pyc-0.4.12-r1.apk
2024-10-25 19:02
22K
py3-html5-parser-0.4.12-r1.apk
2024-10-25 19:02
162K
py3-hishel-pyc-0.1.4-r0.apk
2025-10-15 12:53
144K
py3-hishel-0.1.4-r0.apk
2025-10-15 12:53
77K
py3-himitsu-pyc-0.0.9-r0.apk
2025-08-25 10:57
7.5K
py3-himitsu-0.0.9-r0.apk
2025-08-25 10:57
5.8K
py3-highctidh-pyc-1.0.2024092800-r0.apk
2024-11-25 20:24
12K
py3-highctidh-1.0.2024092800-r0.apk
2024-11-25 20:24
314K
py3-hg-git-pyc-1.1.1-r1.apk
2024-10-25 19:02
107K
py3-hg-git-1.1.1-r1.apk
2024-10-25 19:02
70K
py3-hfst-3.16.2-r1.apk
2026-01-14 05:56
357K
py3-helper-pyc-2.5.0-r5.apk
2024-10-25 19:02
28K
py3-helper-2.5.0-r5.apk
2024-10-25 19:02
19K
py3-hatch-openzim-pyc-0.2.0-r0.apk
2024-10-25 19:02
13K
py3-hatch-openzim-bootstrap-pyc-0.1.0-r0.apk
2024-10-25 19:02
13K
py3-hatch-openzim-bootstrap-0.1.0-r0.apk
2024-10-25 19:02
24K
py3-hatch-openzim-0.2.0-r0.apk
2024-10-25 19:02
25K
py3-halo-pyc-0.0.31-r5.apk
2024-10-25 19:02
14K
py3-halo-0.0.31-r5.apk
2024-10-25 19:02
11K
py3-gtkspellcheck-pyc-5.0.3-r1.apk
2025-08-09 16:28
30K
py3-gtkspellcheck-5.0.3-r1.apk
2025-08-09 16:28
45K
py3-grequests-pyc-0.7.0-r3.apk
2025-05-14 18:16
5.8K
py3-grequests-0.7.0-r3.apk
2025-05-14 18:16
6.7K
py3-googletrans-pyc-3.0.0-r5.apk
2024-10-25 19:02
17K
py3-googletrans-3.0.0-r5.apk
2024-10-25 19:02
15K
py3-google-trans-new-pyc-1.1.9-r2.apk
2024-10-25 19:02
11K
py3-google-trans-new-1.1.9-r2.apk
2024-10-25 19:02
9.2K
py3-gls-pyc-1.3.1-r1.apk
2024-10-25 19:02
84K
py3-gls-1.3.1-r1.apk
2024-10-25 19:02
47K
py3-glob2-pyc-0.7-r6.apk
2024-10-25 19:02
13K
py3-glob2-0.7-r6.apk
2024-10-25 19:02
10K
py3-github3-pyc-4.0.1-r1.apk
2024-10-25 19:02
227K
py3-github3-4.0.1-r1.apk
2024-10-25 19:02
128K
py3-git-versioner-pyc-7.1-r1.apk
2024-10-25 19:02
14K
py3-git-versioner-7.1-r1.apk
2024-10-25 19:02
12K
py3-gevent-websocket-pyc-0.10.1-r8.apk
2024-10-25 19:02
30K
py3-gevent-websocket-0.10.1-r8.apk
2024-10-25 19:02
20K
py3-geoip-1.3.2-r4.apk
2024-10-25 19:02
22K
py3-gdcm-3.2.2-r3.apk
2025-12-02 06:13
668K
py3-furl-pyc-2.1.4-r0.apk
2025-07-13 21:52
32K
py3-furl-2.1.4-r0.apk
2025-07-13 21:52
28K
py3-freetype-py-2.5.1-r0.apk
2024-10-25 19:02
161K
py3-fpdf-pyc-1.7.2-r5.apk
2024-10-25 19:02
89K
py3-fpdf-1.7.2-r5.apk
2024-10-25 19:02
40K
py3-forbiddenfruit-pyc-0.1.4-r2.apk
2024-10-25 19:02
9.7K
py3-forbiddenfruit-0.1.4-r2.apk
2024-10-25 19:02
9.0K
py3-flask-themer-pyc-2.0.0-r2.apk
2024-10-25 19:02
7.0K
py3-flask-themer-2.0.0-r2.apk
2024-10-25 19:02
7.9K
py3-flask-socketio-pyc-5.5.1-r0.apk
2025-09-06 16:20
26K
py3-flask-socketio-doc-5.5.1-r0.apk
2025-09-06 16:20
23K
py3-flask-socketio-5.5.1-r0.apk
2025-09-06 16:20
18K
py3-flask-security-pyc-5.6.2-r0.apk
2025-11-10 03:16
227K
py3-flask-security-5.6.2-r0.apk
2025-11-10 03:16
297K
py3-flask-restless-pyc-0.17.0-r9.apk
2024-10-25 19:02
59K
py3-flask-restless-0.17.0-r9.apk
2024-10-25 19:02
40K
py3-flask-restaction-pyc-0.25.3-r8.apk
2024-10-25 19:02
20K
py3-flask-restaction-0.25.3-r8.apk
2024-10-25 19:02
115K
py3-flask-qrcode-pyc-3.2.0-r0.apk
2024-12-12 07:50
6.2K
py3-flask-qrcode-3.2.0-r0.apk
2024-12-12 07:50
18K
py3-flask-peewee-pyc-3.0.6-r0.apk
2024-10-25 19:02
95K
py3-flask-peewee-3.0.6-r0.apk
2024-10-25 19:02
172K
py3-flask-paginate-pyc-0.8.1-r6.apk
2024-10-25 19:02
11K
py3-flask-paginate-0.8.1-r6.apk
2024-10-25 19:02
8.2K
py3-flask-migrate-pyc-4.1.0-r0.apk
2025-07-13 21:53
18K
py3-flask-migrate-4.1.0-r0.apk
2025-07-13 21:53
13K
py3-flask-markdown-pyc-0.3-r8.apk
2024-10-25 19:02
3.9K
py3-flask-markdown-0.3-r8.apk
2024-10-25 19:02
5.6K
py3-flask-mailman-pyc-1.1.1-r0.apk
2024-10-25 19:02
26K
py3-flask-mailman-1.1.1-r0.apk
2024-10-25 19:02
16K
py3-flask-loopback-pyc-1.4.7-r7.apk
2024-10-25 19:02
7.9K
py3-flask-loopback-1.4.7-r7.apk
2024-10-25 19:02
5.6K
py3-flask-limiter-pyc-3.10.1-r0.apk
2025-01-19 16:39
47K
py3-flask-limiter-3.10.1-r0.apk
2025-01-19 16:39
27K
py3-flask-json-schema-pyc-0.0.5-r4.apk
2024-10-25 19:02
3.4K
py3-flask-json-schema-0.0.5-r4.apk
2024-10-25 19:02
4.1K
py3-flask-httpauth-pyc-4.8.0-r3.apk
2025-11-29 11:38
11K
py3-flask-httpauth-4.8.0-r3.apk
2025-11-29 11:38
7.8K
py3-flask-headers-pyc-1.0-r9.apk
2024-10-25 19:02
2.5K
py3-flask-headers-1.0-r9.apk
2024-10-25 19:02
3.2K
py3-flask-gzip-pyc-0.2-r8.apk
2024-10-25 19:02
2.8K
py3-flask-gzip-0.2-r8.apk
2024-10-25 19:02
3.2K
py3-flask-flatpages-pyc-0.8.3-r0.apk
2024-12-06 23:00
14K
py3-flask-flatpages-0.8.3-r0.apk
2024-12-06 23:00
11K
py3-flask-dbconfig-pyc-0.3.12-r8.apk
2024-10-25 19:02
6.3K
py3-flask-dbconfig-0.3.12-r8.apk
2024-10-25 19:02
86K
py3-flask-components-pyc-0.1.1-r9.apk
2024-10-25 19:02
3.3K
py3-flask-components-0.1.1-r9.apk
2024-10-25 19:02
3.9K
py3-flask-cdn-pyc-1.5.3-r8.apk
2024-10-25 19:02
4.1K
py3-flask-cdn-1.5.3-r8.apk
2024-10-25 19:02
4.8K
py3-flask-cache-pyc-0.13.1-r9.apk
2024-10-25 19:02
18K
py3-flask-cache-0.13.1-r9.apk
2024-10-25 19:02
13K
py3-flask-bootstrap-pyc-3.3.7.1-r9.apk
2025-05-14 00:10
11K
py3-flask-bootstrap-3.3.7.1-r9.apk
2025-05-14 00:10
449K
py3-flask-bcrypt-pyc-1.0.1-r5.apk
2024-10-25 19:02
5.8K
py3-flask-bcrypt-1.0.1-r5.apk
2024-10-25 19:02
7.1K
py3-flask-basicauth-pyc-0.2.0-r9.apk
2024-10-25 19:02
4.1K
py3-flask-basicauth-0.2.0-r9.apk
2024-10-25 19:02
5.3K
py3-flask-autorouter-pyc-0.2.2-r3.apk
2024-10-25 19:02
4.9K
py3-flask-autorouter-0.2.2-r3.apk
2024-10-25 19:02
5.1K
py3-flask-admin-pyc-1.6.1-r3.apk
2024-10-25 19:02
358K
py3-flask-admin-1.6.1-r3.apk
2024-10-25 19:02
6.5M
py3-flask-accept-pyc-0.0.7-r0.apk
2025-07-12 15:29
3.7K
py3-flask-accept-0.0.7-r0.apk
2025-07-12 15:29
5.6K
py3-flake8-todo-pyc-0.7-r7.apk
2024-10-25 19:02
2.2K
py3-flake8-todo-0.7-r7.apk
2024-10-25 19:02
3.6K
py3-flake8-snippets-pyc-0.2-r8.apk
2024-10-25 19:02
3.7K
py3-flake8-snippets-0.2-r8.apk
2024-10-25 19:02
5.3K
py3-flake8-print-pyc-5.0.0-r5.apk
2024-10-25 19:02
4.4K
py3-flake8-print-5.0.0-r5.apk
2024-10-25 19:02
6.7K
py3-flake8-polyfill-pyc-1.0.2-r5.apk
2024-10-25 19:02
5.7K
py3-flake8-polyfill-1.0.2-r5.apk
2024-10-25 19:02
7.1K
py3-flake8-isort-pyc-7.0.0-r0.apk
2025-10-25 20:13
5.4K
py3-flake8-isort-7.0.0-r0.apk
2025-10-25 20:13
18K
py3-flake8-import-order-pyc-0.18.2-r4.apk
2024-10-25 19:02
17K
py3-flake8-import-order-0.18.2-r4.apk
2024-10-25 19:02
15K
py3-flake8-debugger-pyc-4.1.2-r4.apk
2024-10-25 19:02
6.0K
py3-flake8-debugger-4.1.2-r4.apk
2024-10-25 19:02
6.2K
py3-flake8-copyright-pyc-0.2.4-r3.apk
2024-10-25 19:02
3.3K
py3-flake8-copyright-0.2.4-r3.apk
2024-10-25 19:02
18K
py3-flake8-builtins-pyc-2.5.0-r0.apk
2024-12-07 21:51
7.9K
py3-flake8-builtins-2.5.0-r0.apk
2024-12-07 21:51
13K
py3-flake8-blind-except-pyc-0.2.1-r4.apk
2024-10-25 19:02
2.6K
py3-flake8-blind-except-0.2.1-r4.apk
2024-10-25 19:02
5.2K
py3-firmata-pyc-1.0.3-r10.apk
2024-10-25 19:02
21K
py3-firmata-1.0.3-r10.apk
2024-10-25 19:02
14K
py3-ffmpeg-pyc-0.2.0-r5.apk
2025-05-14 18:16
33K
py3-ffmpeg-0.2.0-r5.apk
2025-05-14 18:16
24K
py3-feedgenerator-pyc-2.1.0-r2.apk
2024-10-25 19:02
27K
py3-feedgenerator-2.1.0-r2.apk
2024-10-25 19:02
18K
py3-feedgen-pyc-1.0.0-r1.apk
2024-10-25 19:02
62K
py3-feedgen-1.0.0-r1.apk
2024-10-25 19:02
40K
py3-fastdiff-pyc-0.3.0-r5.apk
2024-10-25 19:02
4.2K
py3-fastdiff-0.3.0-r5.apk
2024-10-25 19:02
38K
py3-fastavro-pyc-1.12.1-r0.apk
2025-10-14 01:58
82K
py3-fastavro-1.12.1-r0.apk
2025-10-14 01:58
441K
py3-evohome-client-pyc-0.3.9-r0.apk
2025-07-13 21:43
27K
py3-evohome-client-0.3.9-r0.apk
2025-07-13 21:43
19K
py3-eventlet-pyc-0.38.1-r0.apk
2024-12-12 04:50
336K
py3-eventlet-0.38.1-r0.apk
2024-12-12 04:50
332K
py3-evalidate-pyc-2.1.3-r0.apk
2025-12-16 15:10
12K
py3-evalidate-2.1.3-r0.apk
2025-12-16 15:10
14K
py3-euclid3-pyc-0.01-r8.apk
2024-10-25 19:02
33K
py3-euclid3-0.01-r8.apk
2024-10-25 19:02
14K
py3-eradicate-pyc-2.3.0-r2.apk
2024-10-25 19:02
8.3K
py3-eradicate-doc-2.3.0-r2.apk
2024-10-25 19:02
2.5K
py3-eradicate-2.3.0-r2.apk
2024-10-25 19:02
7.6K
py3-enzyme-pyc-0.5.2-r0.apk
2025-07-12 15:29
19K
py3-enzyme-0.5.2-r0.apk
2025-07-12 15:29
23K
py3-enlighten-pyc-1.14.1-r0.apk
2025-08-28 20:04
46K
py3-enlighten-1.14.1-r0.apk
2025-08-28 20:04
37K
py3-engineio-pyc-4.12.3-r0.apk
2025-11-27 09:35
104K
py3-engineio-doc-4.12.3-r0.apk
2025-11-27 09:35
33K
py3-engineio-4.12.3-r0.apk
2025-11-27 09:35
49K
py3-empy-pyc-3.3.4-r7.apk
2024-10-25 19:02
58K
py3-empy-3.3.4-r7.apk
2024-10-25 19:02
39K
py3-editdistance-s-pyc-1.0.0-r6.apk
2024-10-25 19:02
2.0K
py3-editdistance-s-1.0.0-r6.apk
2024-10-25 19:02
13K
py3-edalize-pyc-0.5.4-r0.apk
2024-10-25 19:02
190K
py3-edalize-0.5.4-r0.apk
2024-10-25 19:02
123K
py3-ecos-pyc-2.0.11-r4.apk
2024-10-25 19:02
3.6K
py3-ecos-2.0.11-r4.apk
2024-10-25 19:02
27K
py3-ecbdata-0.1.1-r0.apk
2025-04-13 23:31
13K
py3-dweepy-pyc-0.3.0-r7.apk
2024-10-25 19:02
6.3K
py3-dweepy-0.3.0-r7.apk
2024-10-25 19:02
9.1K
py3-duniterpy-1.1.1-r3.apk
2024-10-25 19:02
221K
py3-dunamai-pyc-1.25.0-r0.apk
2025-07-25 23:16
44K
py3-dunamai-1.25.0-r0.apk
2025-07-25 23:16
27K
py3-duckdb-pyc-1.4.3-r0.apk
2026-01-07 00:06
160K
py3-duckdb-1.4.3-r0.apk
2026-01-07 00:06
20M
py3-drf-yasg-pyc-1.21.10-r0.apk
2025-07-15 08:26
98K
py3-drf-yasg-1.21.10-r0.apk
2025-07-15 08:26
4.0M
py3-dpath-pyc-2.2.0-r0.apk
2024-10-25 19:02
18K
py3-dpath-2.2.0-r0.apk
2024-10-25 19:02
17K
py3-downloader-cli-pyc-0.3.4-r2.apk
2025-05-14 18:16
14K
py3-downloader-cli-0.3.4-r2.apk
2025-05-14 18:16
11K
py3-dotty-dict-pyc-1.3.1-r4.apk
2024-10-25 19:02
8.7K
py3-dotty-dict-1.3.1-r4.apk
2024-10-25 19:02
8.4K
py3-dominate-pyc-2.9.1-r1.apk
2024-10-25 19:02
34K
py3-dominate-2.9.1-r1.apk
2024-10-25 19:02
25K
py3-doit-pyc-0.36.0-r5.apk
2024-10-25 19:02
133K
py3-doit-0.36.0-r5.apk
2024-10-25 19:02
77K
py3-doi-pyc-0.2-r0.apk
2025-04-13 21:31
4.7K
py3-doi-0.2-r0.apk
2025-04-13 21:31
6.2K
py3-dogpile.cache-pyc-1.3.3-r1.apk
2025-05-14 18:16
90K
py3-dogpile.cache-1.3.3-r1.apk
2025-05-14 18:16
53K
py3-dnslib-pyc-0.9.26-r0.apk
2025-09-01 18:15
109K
py3-dnslib-0.9.26-r0.apk
2025-09-01 18:15
56K
py3-django-taggit-serializer-pyc-0.1.7-r8.apk
2024-10-25 19:02
5.0K
py3-django-taggit-serializer-0.1.7-r8.apk
2024-10-25 19:02
4.0K
py3-django-suit-pyc-0.2.28-r8.apk
2024-10-25 19:02
32K
py3-django-suit-0.2.28-r8.apk
2024-10-25 19:02
366K
py3-django-compress-staticfiles-pyc-1.0.1_beta0-r6.apk
2024-10-25 19:02
15K
py3-django-compress-staticfiles-1.0.1_beta0-r6.apk
2024-10-25 19:02
15K
py3-distorm3-pyc-3.5.2-r6.apk
2024-10-25 19:02
49K
py3-distorm3-3.5.2-r6.apk
2024-10-25 19:02
46K
py3-discid-pyc-1.3.0-r0.apk
2025-07-28 22:12
13K
py3-discid-1.3.0-r0.apk
2025-07-28 22:12
13K
py3-dexml-pyc-0.5.1-r9.apk
2024-10-25 19:02
37K
py3-dexml-0.5.1-r9.apk
2024-10-25 19:02
22K
py3-deluge-client-pyc-1.10.2-r0.apk
2024-10-25 19:02
20K
py3-deluge-client-doc-1.10.2-r0.apk
2024-10-25 19:02
2.3K
py3-deluge-client-1.10.2-r0.apk
2024-10-25 19:02
13K
py3-dbus-fast-pyc-3.1.2-r0.apk
2025-11-23 21:15
130K
py3-dbus-fast-doc-3.1.2-r0.apk
2025-11-23 21:15
6.2K
py3-dbus-fast-3.1.2-r0.apk
2025-11-23 21:15
793K
py3-daterangestr-pyc-0.0.3-r8.apk
2024-10-25 19:02
4.2K
py3-daterangestr-0.0.3-r8.apk
2024-10-25 19:02
4.4K
py3-dateparser-pyc-1.2.2-r0.apk
2025-07-06 19:46
335K
py3-dateparser-1.2.2-r0.apk
2025-07-06 19:46
216K
py3-dataclasses-serialization-pyc-1.3.1-r3.apk
2024-10-25 19:02
14K
py3-dataclasses-serialization-1.3.1-r3.apk
2024-10-25 19:02
11K
py3-dataclasses-json-pyc-0.6.7-r0.apk
2024-10-25 19:02
36K
py3-dataclasses-json-0.6.7-r0.apk
2024-10-25 19:02
27K
py3-dash-bootstrap-components-1.6.0-r0.apk
2025-04-13 21:31
16K
py3-dash-2.18.2-r0.apk
2025-04-13 21:31
7.4M
py3-cython-test-exception-raiser-pyc-25.11.0-r0.apk
2025-11-11 19:23
1.8K
py3-cython-test-exception-raiser-25.11.0-r0.apk
2025-11-11 19:23
18K
py3-cvxpy-pyc-1.2.1-r5.apk
2024-10-25 19:02
936K
py3-cvxpy-1.2.1-r5.apk
2024-10-25 19:02
670K
py3-cucumber-tag-expressions-pyc-6.1.1-r0.apk
2024-12-01 20:13
10K
py3-cucumber-tag-expressions-6.1.1-r0.apk
2024-12-01 20:13
8.7K
py3-cstruct-pyc-6.1-r0.apk
2025-08-21 23:06
38K
py3-cstruct-6.1-r0.apk
2025-08-21 23:06
23K
py3-cssutils-pyc-2.11.1-r1.apk
2024-10-25 19:02
279K
py3-cssutils-2.11.1-r1.apk
2024-10-25 19:02
155K
py3-cryptg-pyc-0.5.0-r0.apk
2025-05-10 03:12
1.9K
py3-cryptg-0.5.0-r0.apk
2025-05-10 03:12
178K
py3-croniter-pyc-6.0.0-r0.apk
2025-08-25 09:05
26K
py3-croniter-6.0.0-r0.apk
2025-08-25 09:05
26K
py3-criu-pyc-3.19-r3.apk
2025-06-12 13:59
72K
py3-criu-3.19-r3.apk
2025-06-12 13:59
49K
py3-createrepo_c-pyc-1.1.4-r1.apk
2025-12-30 20:57
15K
py3-createrepo_c-1.1.4-r1.apk
2025-12-30 20:57
41K
py3-crc16-pyc-0.1.1-r10.apk
2024-10-25 19:02
4.7K
py3-crc16-0.1.1-r10.apk
2024-10-25 19:02
12K
py3-coreapi-pyc-2.3.3-r9.apk
2024-10-25 19:02
43K
py3-coreapi-2.3.3-r9.apk
2024-10-25 19:02
22K
py3-cookiecutter-pyc-2.6.0-r1.apk
2024-10-25 19:02
47K
py3-cookiecutter-doc-2.6.0-r1.apk
2024-10-25 19:02
3.7K
py3-cookiecutter-2.6.0-r1.apk
2024-10-25 19:02
35K
py3-confusable-homoglyphs-pyc-3.3.1-r0.apk
2025-09-26 12:58
9.4K
py3-confusable-homoglyphs-3.3.1-r0.apk
2025-09-26 12:58
137K
py3-confluent-kafka-pyc-2.9.0-r0.apk
2025-05-15 09:51
227K
py3-confluent-kafka-2.9.0-r0.apk
2025-05-15 09:51
183K
py3-compdb-pyc-0.2.0-r8.apk
2024-10-25 19:02
40K
py3-compdb-doc-0.2.0-r8.apk
2024-10-25 19:02
3.0K
py3-compdb-0.2.0-r8.apk
2024-10-25 19:02
23K
py3-columnize-pyc-0.3.11-r4.apk
2024-10-25 19:02
7.5K
py3-columnize-0.3.11-r4.apk
2024-10-25 19:02
8.5K
py3-colorthief-pyc-0.2.1-r1.apk
2024-10-25 19:02
10K
py3-colorthief-0.2.1-r1.apk
2024-10-25 19:02
7.3K
py3-colander-pyc-2.0-r2.apk
2024-10-25 19:02
42K
py3-colander-2.0-r2.apk
2024-10-25 19:02
62K
py3-cobs-pyc-1.2.0-r4.apk
2024-10-25 19:02
12K
py3-cobs-1.2.0-r4.apk
2024-10-25 19:02
19K
py3-cmd2-pyc-2.4.3-r2.apk
2024-10-25 19:02
223K
py3-cmd2-2.4.3-r2.apk
2024-10-25 19:02
139K
py3-clickclick-pyc-20.10.2-r4.apk
2024-10-25 19:02
9.8K
py3-clickclick-20.10.2-r4.apk
2024-10-25 19:02
8.0K
py3-click-threading-pyc-0.5.0-r5.apk
2024-10-25 19:02
7.9K
py3-click-threading-0.5.0-r5.apk
2024-10-25 19:02
6.3K
py3-click-default-group-pyc-1.2.4-r1.apk
2024-10-25 19:02
4.5K
py3-click-default-group-1.2.4-r1.apk
2024-10-25 19:02
5.1K
py3-click-completion-pyc-0.5.2-r1.apk
2024-10-25 19:02
14K
py3-click-completion-0.5.2-r1.apk
2024-10-25 19:02
11K
py3-class-doc-pyc-1.25-r1.apk
2024-10-25 19:02
8.7K
py3-class-doc-1.25-r1.apk
2024-10-25 19:02
6.1K
py3-ciso8601-2.3.3-r0.apk
2025-11-11 03:03
16K
py3-chameleon-pyc-4.6.0-r0.apk
2025-07-06 09:16
131K
py3-chameleon-4.6.0-r0.apk
2025-07-06 09:16
97K
py3-certauth-pyc-1.3.0-r1.apk
2024-10-25 19:02
9.1K
py3-certauth-1.3.0-r1.apk
2024-10-25 19:02
8.7K
py3-cdio-pyc-2.1.1-r6.apk
2025-01-20 21:15
43K
py3-cdio-2.1.1-r6.apk
2025-01-20 21:15
98K
py3-cchardet-pyc-2.1.7-r5.apk
2024-10-25 19:02
3.1K
py3-cchardet-2.1.7-r5.apk
2024-10-25 19:02
122K
py3-catkin-pkg-pyc-0.5.2-r4.apk
2024-10-25 19:02
103K
py3-catkin-pkg-0.5.2-r4.apk
2024-10-25 19:02
57K
py3-cassandra-driver-pyc-3.29.2-r0.apk
2024-10-25 19:02
560K
py3-cassandra-driver-3.29.2-r0.apk
2024-10-25 19:02
286K
py3-caldav-pyc-2.2.3-r0.apk
2025-12-07 19:14
154K
py3-caldav-2.2.3-r0.apk
2025-12-07 19:14
113K
py3-c3d-pyc-0.6.0-r1.apk
2026-01-14 18:57
54K
py3-c3d-0.6.0-r1.apk
2026-01-14 18:57
32K
py3-businesstime-pyc-0.3.0-r9.apk
2024-10-25 19:02
16K
py3-businesstime-0.3.0-r9.apk
2024-10-25 19:02
11K
py3-bson-pyc-0.5.10-r6.apk
2024-10-25 19:02
19K
py3-bson-0.5.10-r6.apk
2024-10-25 19:02
12K
py3-bottle-werkzeug-pyc-0.1.1-r9.apk
2024-10-25 19:02
4.3K
py3-bottle-werkzeug-0.1.1-r9.apk
2024-10-25 19:02
4.1K
py3-bottle-websocket-pyc-0.2.9-r8.apk
2024-10-25 19:02
3.1K
py3-bottle-websocket-0.2.9-r8.apk
2024-10-25 19:02
4.6K
py3-bottle-sqlite-pyc-0.2.0-r7.apk
2024-10-25 19:02
5.2K
py3-bottle-sqlite-0.2.0-r7.apk
2024-10-25 19:02
4.7K
py3-bottle-sqlalchemy-pyc-0.4.3-r8.apk
2024-10-25 19:02
5.6K
py3-bottle-sqlalchemy-0.4.3-r8.apk
2024-10-25 19:02
4.9K
py3-bottle-session-pyc-1.0-r6.apk
2024-10-25 19:02
7.8K
py3-bottle-session-1.0-r6.apk
2024-10-25 19:02
10K
py3-bottle-rest-pyc-0.6.0-r1.apk
2024-10-25 19:02
5.2K
py3-bottle-rest-0.6.0-r1.apk
2024-10-25 19:02
6.1K
py3-bottle-request-pyc-0.2.0-r9.apk
2024-10-25 19:02
2.6K
py3-bottle-request-0.2.0-r9.apk
2024-10-25 19:02
3.2K
py3-bottle-renderer-pyc-0.1.1-r9.apk
2024-10-25 19:02
3.7K
py3-bottle-renderer-0.1.1-r9.apk
2024-10-25 19:02
4.0K
py3-bottle-redis-pyc-0.2.3-r6.apk
2024-10-25 19:02
3.1K
py3-bottle-redis-0.2.3-r6.apk
2024-10-25 19:02
3.3K
py3-bottle-pgsql-0.2-r5.apk
2024-10-25 19:02
4.3K
py3-bottle-api-pyc-0.0.4-r7.apk
2024-10-25 19:02
5.2K
py3-bottle-api-0.0.4-r7.apk
2024-10-25 19:02
4.9K
py3-bookkeeper-pyc-4.17.2-r0.apk
2025-07-13 21:44
67K
py3-bookkeeper-4.17.2-r0.apk
2025-07-13 21:44
43K
py3-blockdiag-tests-3.0.0-r6.apk
2025-01-10 17:03
2.5M
py3-blockdiag-pyc-3.0.0-r6.apk
2025-01-10 17:03
149K
py3-blockdiag-3.0.0-r6.apk
2025-01-10 17:03
68K
py3-blockchain-pyc-1.4.4-r7.apk
2024-10-25 19:02
18K
py3-blockchain-1.4.4-r7.apk
2024-10-25 19:02
11K
py3-bleak-0.22.3-r0.apk
2024-10-25 19:02
370K
py3-bitstruct-pyc-8.19.0-r1.apk
2024-10-25 19:02
13K
py3-bitstruct-8.19.0-r1.apk
2024-10-25 19:02
34K
py3-bite-parser-pyc-0.2.5-r0.apk
2024-10-28 21:51
23K
py3-bite-parser-0.2.5-r0.apk
2024-10-28 21:51
14K
py3-bidict-pyc-0.23.1-r1.apk
2024-10-25 19:02
29K
py3-bidict-0.23.1-r1.apk
2024-10-25 19:02
28K
py3-bibtexparser-pyc-1.4.3-r0.apk
2025-01-19 14:15
49K
py3-bibtexparser-1.4.3-r0.apk
2025-01-19 14:15
40K
py3-bencode-pyc-4.0.0-r1.apk
2024-10-25 19:02
10K
py3-bencode-4.0.0-r1.apk
2024-10-25 19:02
17K
py3-beartype-pyc-0.22.9-r0.apk
2025-12-15 18:33
744K
py3-beartype-0.22.9-r0.apk
2025-12-15 18:33
1.0M
py3-barcodenumber-pyc-0.2.1-r10.apk
2024-10-25 19:02
4.3K
py3-barcodenumber-0.2.1-r10.apk
2024-10-25 19:02
16K
py3-banal-pyc-1.0.6-r4.apk
2024-10-25 19:02
7.2K
py3-banal-1.0.6-r4.apk
2024-10-25 19:02
6.9K
py3-b2sdk-pyc-2.10.2-r0.apk
2025-12-16 18:41
429K
py3-b2sdk-2.10.2-r0.apk
2025-12-16 18:41
227K
py3-avro-pyc-1.11.3-r1.apk
2024-10-25 19:02
191K
py3-avro-1.11.3-r1.apk
2024-10-25 19:02
98K
py3-asysocks-pyc-0.2.18-r0.apk
2025-11-03 08:48
320K
py3-asysocks-examples-0.2.18-r0.apk
2025-11-03 08:48
36K
py3-asysocks-0.2.18-r0.apk
2025-11-03 08:48
88K
py3-asyauth-pyc-0.0.23-r0.apk
2025-11-03 08:48
186K
py3-asyauth-0.0.23-r0.apk
2025-11-03 08:48
84K
py3-astral-pyc-3.2-r3.apk
2024-10-25 19:02
59K
py3-astral-3.2-r3.apk
2024-10-25 19:02
37K
py3-ask-pyc-0.0.8-r8.apk
2024-10-25 19:02
4.5K
py3-ask-0.0.8-r8.apk
2024-10-25 19:02
5.0K
py3-asif-pyc-0.3.2-r3.apk
2024-10-25 19:02
26K
py3-asif-0.3.2-r3.apk
2024-10-25 19:02
13K
py3-arcus-5.3.0-r5.apk
2025-06-12 13:59
84K
py3-apt-pyc-3.1.0-r0.apk
2025-12-21 03:05
119K
py3-apt-lang-3.1.0-r0.apk
2025-12-21 03:05
80K
py3-apt-3.1.0-r0.apk
2025-12-21 03:05
169K
py3-apsw-pyc-3.51.2.0-r0.apk
2026-01-23 07:59
586K
py3-apsw-3.51.2.0-r0.apk
2026-01-23 07:59
875K
py3-apio-pyc-0.9.5-r0.apk
2024-10-25 19:02
77K
py3-apio-0.9.5-r0.apk
2024-10-25 19:02
72K
py3-apicula-pyc-0.11.1-r1.apk
2024-10-25 19:02
179K
py3-apicula-0.11.1-r1.apk
2024-10-25 19:02
8.5M
py3-anyascii-pyc-0.3.2-r1.apk
2024-10-25 19:02
3.3K
py3-anyascii-0.3.2-r1.apk
2024-10-25 19:02
275K
py3-ansible-pylibssh-1.2.2-r0.apk
2025-07-18 07:51
243K
py3-altgraph-pyc-0.17.4-r1.apk
2024-10-25 19:02
29K
py3-altgraph-0.17.4-r1.apk
2024-10-25 19:02
21K
py3-allfiles-pyc-1.0-r8.apk
2024-10-25 19:02
3.3K
py3-allfiles-1.0-r8.apk
2024-10-25 19:02
3.6K
py3-aioxmpp-pyc-0.13.3-r3.apk
2024-10-25 19:02
673K
py3-aioxmpp-doc-0.13.3-r3.apk
2024-10-25 19:02
18K
py3-aioxmpp-0.13.3-r3.apk
2024-10-25 19:02
388K
py3-aiowinreg-pyc-0.0.13-r0.apk
2025-11-03 08:48
44K
py3-aiowinreg-0.0.13-r0.apk
2025-11-03 08:48
22K
py3-aiosmb-pyc-0.4.14-r0.apk
2025-11-03 08:48
1.2M
py3-aiosmb-examples-0.4.14-r0.apk
2025-11-03 08:48
38K
py3-aiosmb-0.4.14-r0.apk
2025-11-03 08:48
585K
py3-aiosasl-pyc-0.5.0-r4.apk
2024-10-25 19:02
24K
py3-aiosasl-doc-0.5.0-r4.apk
2024-10-25 19:02
16K
py3-aiosasl-0.5.0-r4.apk
2024-10-25 19:02
30K
py3-aioopenssl-pyc-0.6.0-r4.apk
2024-10-25 19:02
19K
py3-aioopenssl-0.6.0-r4.apk
2024-10-25 19:02
21K
py3-aiohttp-session-pyc-2.12.1-r0.apk
2024-10-25 19:02
15K
py3-aiohttp-session-2.12.1-r0.apk
2024-10-25 19:02
10K
py3-aiohttp-remotes-pyc-1.3.0-r0.apk
2024-11-04 12:28
19K
py3-aiohttp-remotes-1.3.0-r0.apk
2024-11-04 12:28
10K
py3-aiohttp-jinja2-pyc-1.6-r2.apk
2024-10-25 19:02
9.2K
py3-aiohttp-jinja2-1.6-r2.apk
2024-10-25 19:02
12K
py3-aiohttp-debugtoolbar-pyc-0.6.1-r2.apk
2024-10-25 19:02
51K
py3-aiohttp-debugtoolbar-0.6.1-r2.apk
2024-10-25 19:02
446K
py3-aiodocker-pyc-0.21.0-r1.apk
2024-10-25 19:02
60K
py3-aiodocker-0.21.0-r1.apk
2024-10-25 19:02
29K
py3-agithub-pyc-2.2.2-r7.apk
2025-03-21 16:21
21K
py3-agithub-2.2.2-r7.apk
2025-03-21 16:21
19K
py3-aesedb-pyc-0.1.8-r0.apk
2025-11-03 08:48
75K
py3-aesedb-examples-0.1.8-r0.apk
2025-11-03 08:48
3.4K
py3-aesedb-0.1.8-r0.apk
2025-11-03 08:48
34K
py3-actdiag-pyc-3.0.0-r5.apk
2024-10-25 19:02
21K
py3-actdiag-3.0.0-r5.apk
2024-10-25 19:02
17K
py-spy-zsh-completion-0.3.14-r3.apk
2024-10-25 19:02
3.1K
py-spy-fish-completion-0.3.14-r3.apk
2024-10-25 19:02
2.6K
py-spy-doc-0.3.14-r3.apk
2024-10-25 19:02
2.3K
py-spy-bash-completion-0.3.14-r3.apk
2024-10-25 19:02
2.4K
py-spy-0.3.14-r3.apk
2024-10-25 19:02
1.0M
pxmenu-1.0.0-r1.apk
2024-10-25 19:02
2.9K
pxalarm-3.0.0-r0.apk
2024-10-25 19:02
2.9K
pwvucontrol-lang-0.5.0-r0.apk
2025-10-20 21:45
11K
pwvucontrol-dbg-0.5.0-r0.apk
2025-10-20 21:45
1.9M
pwvucontrol-0.5.0-r0.apk
2025-10-20 21:45
438K
pwru-1.0.7-r11.apk
2026-01-17 22:42
3.5M
pwntools-pyc-4.15.0-r0.apk
2025-12-31 14:52
1.7M
pwntools-doc-4.15.0-r0.apk
2025-12-31 14:52
38K
pwntools-4.15.0-r0.apk
2025-12-31 14:52
3.2M
pwndbg-pyc-2025.10.20-r0.apk
2025-12-31 14:52
1.2M
pwndbg-doc-2025.10.20-r0.apk
2025-12-31 14:52
3.8K
pwndbg-2025.10.20-r0.apk
2025-12-31 14:52
654K
pwauth-doc-2.3.11-r2.apk
2024-10-25 19:02
6.8K
pwauth-2.3.11-r2.apk
2024-10-25 19:02
3.8K
pw-volume-0.5.0-r1.apk
2024-10-25 19:02
322K
purple-hangouts-0_git20200422-r0.apk
2024-10-25 19:02
227K
purple-facebook-0.9.6-r0.apk
2024-10-25 19:02
78K
pure-doc-1.23.0-r0.apk
2025-10-20 13:50
7.9K
pure-data-libs-0.55.2-r0.apk
2025-06-29 17:18
642K
pure-data-doc-0.55.2-r0.apk
2025-06-29 17:18
5.4M
pure-data-dev-0.55.2-r0.apk
2025-06-29 17:18
53K
pure-data-0.55.2-r0.apk
2025-06-29 17:18
1.7M
pure-1.23.0-r0.apk
2025-10-20 13:50
18K
punch-pyc-0.1.1-r0.apk
2025-12-09 23:50
31K
punch-0.1.1-r0.apk
2025-12-09 23:50
30K
pulumi-watch-0.1.5-r2.apk
2024-10-25 19:02
815K
pulseview-doc-0.4.2-r8.apk
2024-10-25 19:02
3.7K
pulseview-0.4.2-r8.apk
2024-10-25 19:02
942K
pulsar-client-cpp-dev-3.7.1-r0.apk
2025-06-12 13:59
64K
pulsar-client-cpp-3.7.1-r0.apk
2025-06-12 13:59
1.4M
pully-openrc-1.0.0-r0.apk
2024-10-25 19:02
1.7K
pully-1.0.0-r0.apk
2024-10-25 19:02
2.5K
pug-0.6.5-r1.apk
2026-01-17 22:42
3.7M
ptylie-doc-0.2-r2.apk
2025-05-14 00:10
3.2K
ptylie-0.2-r2.apk
2025-05-14 00:10
11K
ptpd-openrc-2.3.1-r1.apk
2024-10-25 19:02
2.4K
ptpd-doc-2.3.1-r1.apk
2024-10-25 19:02
20K
ptpd-2.3.1-r1.apk
2024-10-25 19:02
172K
ptouch-print-doc-1.7-r0.apk
2025-09-13 16:23
3.1K
ptouch-print-1.7-r0.apk
2025-09-13 16:23
28K
ptcpdump-0.37.0-r2.apk
2026-01-17 22:42
12M
psst-0_git20240526-r1.apk
2024-10-25 19:02
7.7M
pspp-doc-2.0.1-r1.apk
2025-10-06 11:28
9.0K
pspp-dbg-2.0.1-r1.apk
2025-10-06 11:28
4.3M
pspp-2.0.1-r1.apk
2025-10-06 11:28
9.9M
psi-plus-plugins-1.5.2096-r0.apk
2025-09-30 12:51
1.8M
psi-plus-1.5.2096-r0.apk
2025-09-30 12:51
9.0M
psi-notify-1.3.1-r0.apk
2024-10-25 19:02
11K
psftools-doc-1.1.2-r0.apk
2024-10-25 19:02
60K
psftools-dev-1.1.2-r0.apk
2024-10-25 19:02
78K
psftools-1.1.2-r0.apk
2024-10-25 19:02
190K
prowlarr-openrc-2.3.0.5236-r0.apk
2025-12-13 17:16
2.0K
prowlarr-2.3.0.5236-r0.apk
2025-12-13 17:16
19M
proverif-utils-2.05-r1.apk
2026-01-11 22:38
458K
proverif-interact-2.05-r1.apk
2026-01-11 22:38
1.8M
proverif-examples-2.05-r1.apk
2026-01-11 22:38
168K
proverif-emacs-2.05-r1.apk
2026-01-11 22:38
2.9K
proverif-2.05-r1.apk
2026-01-11 22:38
1.5M
protoconf-0.1.7-r19.apk
2026-01-17 22:42
8.0M
protoc-gen-openapi-0.4.0-r0.apk
2026-01-27 17:42
4.7M
protoc-gen-js-4.0.1-r0.apk
2025-11-20 10:14
1.7M
protoc-gen-gorm-1.1.5-r1.apk
2026-01-17 22:42
2.5M
protoc-gen-go-grpc-1.77.0-r1.apk
2026-01-17 22:42
2.3M
protoc-gen-go-1.36.11-r1.apk
2026-01-17 22:42
2.4M
protoc-gen-doc-doc-1.5.1-r1.apk
2026-01-17 22:42
2.3K
protoc-gen-doc-1.5.1-r1.apk
2026-01-17 22:42
3.7M
protoc-gen-bq-schema-3.1.0-r1.apk
2026-01-17 22:42
1.7M
prosody-modules-0.11_hg20201208-r0.apk
2024-10-25 19:02
1.5K
prosody-mod-webpresence-0.11_hg20201208-r0.apk
2024-10-25 19:02
2.6K
prosody-mod-vcard_muc-0.11_hg20201208-r0.apk
2024-10-25 19:02
2.7K
prosody-mod-support_contact-0.11_hg20201208-r0.apk
2024-10-25 19:02
2.0K
prosody-mod-stanza_counter-0.11_hg20201208-r0.apk
2024-10-25 19:02
2.0K
prosody-mod-smacks-0.11_hg20201208-r0.apk
2024-10-25 19:02
8.6K
prosody-mod-server_status-0.11_hg20201208-r0.apk
2024-10-25 19:02
2.8K
prosody-mod-saslname-0.11_hg20201208-r0.apk
2024-10-25 19:02
1.6K
prosody-mod-s2s_idle_timeout-0.11_hg20201208-r0.apk
2024-10-25 19:02
2.0K
prosody-mod-require_otr-0.11_hg20201208-r0.apk
2024-10-25 19:02
1.7K
prosody-mod-reload_modules-0.11_hg20201208-r0.apk
2024-10-25 19:02
2.0K
prosody-mod-register_redirect-0.11_hg20201208-r0.apk
2024-10-25 19:02
2.7K
prosody-mod-register_json-0.11_hg20201208-r0.apk
2024-10-25 19:02
104K
prosody-mod-pastebin-0.11_hg20201208-r0.apk
2024-10-25 19:02
3.7K
prosody-mod-muc_cloud_notify-0.11_hg20201208-r0.apk
2024-10-25 19:02
6.9K
prosody-mod-mam_muc-0.11_hg20201208-r0.apk
2024-10-25 19:02
5.6K
prosody-mod-mam-0.11_hg20201208-r0.apk
2024-10-25 19:02
5.9K
prosody-mod-log_slow_events-0.11_hg20201208-r0.apk
2024-10-25 19:02
2.2K
prosody-mod-log_auth-0.11_hg20201208-r0.apk
2024-10-25 19:02
1.8K
prosody-mod-ipcheck-0.11_hg20201208-r0.apk
2024-10-25 19:02
2.0K
prosody-mod-http_upload_external-0.11_hg20201208-r0.apk
2024-10-25 19:02
2.9K
prosody-mod-host_guard-0.11_hg20201208-r0.apk
2024-10-25 19:02
2.8K
prosody-mod-conversejs-0.11_hg20201208-r0.apk
2024-10-25 19:02
3.3K
prosody-mod-cloud_notify-0.11_hg20201208-r0.apk
2024-10-25 19:02
7.2K
prosody-mod-c2s_conn_throttle-0.11_hg20201208-r0.apk
2024-10-25 19:02
2.0K
prosody-mod-broadcast-0.11_hg20201208-r0.apk
2024-10-25 19:02
1.8K
prosody-mod-bookmarks-0.11_hg20201208-r0.apk
2024-10-25 19:02
3.1K
prosody-mod-block_registrations-0.11_hg20201208-r0.apk
2024-10-25 19:02
1.8K
prosody-mod-auth_sql-0.11_hg20201208-r0.apk
2024-10-25 19:02
2.6K
prosody-mod-auth_pam-0.11_hg20201208-r0.apk
2024-10-25 19:02
1.8K
prosody-mod-auth_ldap-0.11_hg20201208-r0.apk
2024-10-25 19:02
2.8K
prometheus-unbound-exporter-openrc-0.4.6-r5.apk
2025-05-14 00:10
2.0K
prometheus-unbound-exporter-0.4.6-r5.apk
2025-05-14 00:10
3.8M
prometheus-smtp2go-exporter-openrc-0.1.1-r5.apk
2026-01-17 22:42
2.0K
prometheus-smtp2go-exporter-0.1.1-r5.apk
2026-01-17 22:42
3.6M
prometheus-smartctl-exporter-openrc-0.14.0-r6.apk
2026-01-17 22:42
1.9K
prometheus-smartctl-exporter-0.14.0-r6.apk
2026-01-17 22:42
4.9M
prometheus-rethinkdb-exporter-openrc-1.0.1-r34.apk
2026-01-17 22:42
1.7K
prometheus-rethinkdb-exporter-1.0.1-r34.apk
2026-01-17 22:42
4.4M
prometheus-podman-exporter-1.18.1-r4.apk
2026-01-17 22:42
15M
prometheus-opnsense-exporter-openrc-0.0.11-r3.apk
2026-01-17 22:42
2.1K
prometheus-opnsense-exporter-0.0.11-r3.apk
2026-01-17 22:42
5.0M
prometheus-fastly-exporter-10.2.0-r1.apk
2026-01-17 22:42
5.0M
projectsandcastle-loader-0_git20200307-r1.apk
2024-10-25 19:02
5.0K
projectm-sdl-3.1.12-r2.apk
2024-10-25 19:02
316K
projectm-pulseaudio-doc-3.1.12-r2.apk
2024-10-25 19:02
2.0K
projectm-pulseaudio-3.1.12-r2.apk
2024-10-25 19:02
402K
projectm-presets-3.1.12-r2.apk
2024-10-25 19:02
4.3M
projectm-dev-3.1.12-r2.apk
2024-10-25 19:02
606K
projectm-3.1.12-r2.apk
2024-10-25 19:02
433K
prjtrellis-db-machxo3d-0_git20230929-r0.apk
2024-10-25 19:02
748K
prjtrellis-db-machxo3-0_git20230929-r0.apk
2024-10-25 19:02
1.1M
prjtrellis-db-machxo2-0_git20230929-r0.apk
2024-10-25 19:02
1.0M
prjtrellis-db-machxo-0_git20230929-r0.apk
2024-10-25 19:02
39K
prjtrellis-db-ecp5-0_git20230929-r0.apk
2024-10-25 19:02
2.1M
prjtrellis-db-0_git20230929-r0.apk
2024-10-25 19:02
3.3K
prjtrellis-1.4-r2.apk
2024-10-25 19:02
1.2M
primesieve-libs-12.10-r0.apk
2025-11-25 19:08
126K
primesieve-doc-12.10-r0.apk
2025-11-25 19:08
4.1K
primesieve-dev-12.10-r0.apk
2025-11-25 19:08
1.4M
primesieve-12.10-r0.apk
2025-11-25 19:08
44K
primecount-libs-7.20-r0.apk
2025-11-25 19:08
172K
primecount-doc-7.20-r0.apk
2025-11-25 19:08
4.2K
primecount-dev-7.20-r0.apk
2025-11-25 19:08
2.3M
primecount-7.20-r0.apk
2025-11-25 19:08
29K
predoc-doc-0.2.3-r0.apk
2026-01-24 13:59
11K
predoc-0.2.3-r0.apk
2026-01-24 13:59
352K
predict-doc-2.3.1-r0.apk
2024-11-24 10:45
16K
predict-2.3.1-r0.apk
2024-11-24 10:45
100K
pqiv-doc-2.12-r1.apk
2024-10-25 19:02
12K
pqiv-2.12-r1.apk
2024-10-25 19:02
67K
pptpclient-doc-1.10.0-r6.apk
2025-06-30 08:08
7.2K
pptpclient-1.10.0-r6.apk
2025-06-30 08:08
32K
powerstat-doc-0.04.01-r0.apk
2024-10-25 19:02
4.3K
powerstat-bash-completion-0.04.01-r0.apk
2024-10-25 19:02
2.3K
powerstat-0.04.01-r0.apk
2024-10-25 19:02
20K
powerctl-doc-1.1-r6.apk
2025-04-18 16:34
3.2K
powerctl-1.1-r6.apk
2025-04-18 16:34
90K
powder-toy-97.0.352-r1.apk
2024-10-25 19:02
816K
pounce-openrc-3.1-r4.apk
2025-09-12 22:22
2.7K
pounce-doc-3.1-r4.apk
2025-09-12 22:22
8.5K
pounce-3.1-r4.apk
2025-09-12 22:22
28K
postgresql16-wal2json-2.6-r0.apk
2024-10-25 19:02
70K
postgresql-pgmq-1.1.1-r1.apk
2024-10-25 19:02
260K
postgresql-pg_variables-bitcode-1.2.5_git20230922-r1.apk
2025-11-03 16:16
45K
postgresql-pg_variables-1.2.5_git20230922-r1.apk
2025-11-03 16:16
23K
postgresql-pg_later-0.0.14-r1.apk
2024-10-25 19:02
610K
postgresql-hll-bitcode-2.18-r0.apk
2024-10-25 19:02
56K
postgresql-hll-2.18-r0.apk
2024-10-25 19:02
26K
portsmf-dev-239-r2.apk
2025-10-13 20:02
20K
portsmf-239-r2.apk
2025-10-13 20:02
56K
porla-openrc-0.41.0-r2.apk
2025-02-24 17:12
2.7K
porla-doc-0.41.0-r2.apk
2025-02-24 17:12
2.2K
porla-0.41.0-r2.apk
2025-02-24 17:12
3.7M
popeye-0.22.1-r10.apk
2026-01-17 22:42
29M
pop-icon-theme-3.5.1-r0.apk
2025-03-25 07:55
1.3M
pop-cursor-theme-3.5.1-r0.apk
2025-03-25 07:55
13M
pongoos-loader-0_git20210704-r1.apk
2024-10-25 19:02
2.4K
pomo-doc-0.8.1-r29.apk
2026-01-17 22:42
2.7K
pomo-0.8.1-r29.apk
2026-01-17 22:42
1.7M
polyglot-doc-2.0.4-r2.apk
2026-01-23 19:17
47K
polyglot-2.0.4-r2.apk
2026-01-23 19:17
63K
polycule-0.3.4-r0.apk
2025-11-16 17:55
29M
policycoreutils-lang-3.6-r1.apk
2024-10-25 19:02
105K
policycoreutils-doc-3.6-r1.apk
2024-10-25 19:02
22K
policycoreutils-bash-completion-3.6-r1.apk
2024-10-25 19:02
2.4K
policycoreutils-3.6-r1.apk
2024-10-25 19:02
54K
pokoy-doc-0.2.5-r0.apk
2024-10-25 19:02
3.0K
pokoy-0.2.5-r0.apk
2024-10-25 19:02
11K
pnmixer-lang-0.7.2-r4.apk
2026-01-17 22:42
25K
pnmixer-doc-0.7.2-r4.apk
2026-01-17 22:42
2.3K
pnmixer-0.7.2-r4.apk
2026-01-17 22:42
138K
pneink-theme-doc-1.3-r0.apk
2025-07-30 00:21
1.7K
pneink-theme-1.3-r0.apk
2025-07-30 00:21
9.8K
pmccabe-doc-2.8-r1.apk
2024-10-25 19:02
7.1K
pmccabe-2.8-r1.apk
2024-10-25 19:02
23K
plplot-libs-5.15.0-r2.apk
2024-10-25 19:02
200K
plplot-doc-5.15.0-r2.apk
2024-10-25 19:02
311K
plplot-dev-5.15.0-r2.apk
2024-10-25 19:02
59K
plplot-5.15.0-r2.apk
2024-10-25 19:02
31K
plots-0.7.0-r1.apk
2024-11-06 09:41
516K
plib-1.8.5-r3.apk
2024-10-25 19:02
849K
please-doc-0.5.6-r0.apk
2025-08-29 08:32
16K
please-build-zsh-completion-17.22.0-r2.apk
2026-01-17 22:42
1.9K
please-build-tools-17.22.0-r2.apk
2026-01-17 22:42
11M
please-build-bash-completion-17.22.0-r2.apk
2026-01-17 22:42
1.9K
please-build-17.22.0-r2.apk
2026-01-17 22:42
7.6M
please-0.5.6-r0.apk
2025-08-29 08:32
1.0M
platformio-core-pyc-6.1.7-r3.apk
2024-10-25 19:02
552K
platformio-core-6.1.7-r3.apk
2024-10-25 19:02
263K
planner-lang-0.14.92-r1.apk
2024-12-08 21:48
825K
planner-doc-0.14.92-r1.apk
2024-12-08 21:48
2.2K
planner-0.14.92-r1.apk
2024-12-08 21:48
345K
plakar-doc-1.0.6-r2.apk
2026-01-17 22:42
2.1K
plakar-1.0.6-r2.apk
2026-01-17 22:42
20M
pixiewps-doc-1.4.2-r2.apk
2025-05-14 00:10
3.4K
pixiewps-1.4.2-r2.apk
2025-05-14 00:10
51K
pixi-zsh-completion-0.24.2-r0.apk
2024-10-25 19:02
10K
pixi-fish-completion-0.24.2-r0.apk
2024-10-25 19:02
10K
pixi-doc-0.24.2-r0.apk
2024-10-25 19:02
6.9K
pixi-bash-completion-0.24.2-r0.apk
2024-10-25 19:02
7.2K
pixi-0.24.2-r0.apk
2024-10-25 19:02
8.8M
pitivi-pyc-2023.03-r2.apk
2024-12-23 03:30
700K
pitivi-lang-2023.03-r2.apk
2024-12-23 03:30
678K
pitivi-2023.03-r2.apk
2024-12-23 03:30
2.7M
pithos-pyc-1.6.1-r0.apk
2024-10-25 19:02
154K
pithos-doc-1.6.1-r0.apk
2024-10-25 19:02
2.1K
pithos-1.6.1-r0.apk
2024-10-25 19:02
104K
piping-server-openrc-0.18.0-r0.apk
2024-10-25 19:02
1.8K
piping-server-0.18.0-r0.apk
2024-10-25 19:02
1.5M
piper-tts-dev-2023.11.14.2-r14.apk
2025-07-12 16:07
141K
piper-tts-2023.11.14.2-r14.apk
2025-07-12 16:07
131K
piper-phonemize-libs-2023.11.14.4-r9.apk
2025-07-12 16:07
69K
piper-phonemize-dev-2023.11.14.4-r9.apk
2025-07-12 16:07
394K
piper-phonemize-2023.11.14.4-r9.apk
2025-07-12 16:07
9.0M
pipeleek-doc-0.52.0-r0.apk
2026-01-23 15:22
13K
pipeleek-0.52.0-r0.apk
2026-01-23 15:22
19M
pinentry-bemenu-0.14.0-r1.apk
2025-07-06 00:17
8.2K
pimd-openrc-3.0_git20220201-r0.apk
2024-10-25 19:02
1.6K
pimd-doc-3.0_git20220201-r0.apk
2024-10-25 19:02
35K
pimd-dense-openrc-2.1.0-r0.apk
2024-10-25 19:02
1.9K
pimd-dense-doc-2.1.0-r0.apk
2024-10-25 19:02
20K
pimd-dense-2.1.0-r0.apk
2024-10-25 19:02
53K
pimd-3.0_git20220201-r0.apk
2024-10-25 19:02
86K
piler-openrc-1.4.7-r0.apk
2025-01-16 10:17
2.2K
piler-1.4.7-r0.apk
2025-01-16 10:17
2.1M
pikchr-cmd-doc-1.0.0-r0.apk
2025-10-25 10:43
2.2K
pikchr-cmd-1.0.0-r0.apk
2025-10-25 10:43
44K
pihole-openrc-6.2.3-r0.apk
2025-06-20 18:05
1.8K
pihole-doc-6.2.3-r0.apk
2025-06-20 18:05
3.8K
pihole-bash-completion-6.2.3-r0.apk
2025-06-20 18:05
2.2K
pihole-6.2.3-r0.apk
2025-06-20 18:05
5.6M
piglit-0_git20241106-r1.apk
2025-05-14 00:10
89M
pidif-0.1-r1.apk
2024-10-25 19:02
159K
pict-rs-openrc-0.5.19-r1.apk
2025-10-14 14:16
1.9K
pict-rs-0.5.19-r1.apk
2025-10-14 14:16
5.8M
pick-doc-4.0.0-r0.apk
2024-10-25 19:02
3.3K
pick-4.0.0-r0.apk
2024-10-25 19:02
9.9K
phpactor-2025.10.17.0-r0.apk
2025-11-19 04:05
3.6M
php85-snappy-0.2.3-r0.apk
2025-12-25 00:03
5.0K
php85-pecl-vld-0.19.1-r1.apk
2025-09-24 13:21
15K
php85-pecl-solr-2.9.1-r0.apk
2025-11-25 05:10
88K
php85-pecl-runkit7-4.0.0_alpha6-r0.apk
2025-12-30 21:15
31K
php85-pecl-oauth-2.0.10-r0.apk
2025-10-09 18:38
36K
php85-pecl-luasandbox-4.1.3-r0.apk
2025-12-20 11:45
29K
php85-pecl-ev-1.2.3-r0.apk
2026-01-27 19:26
41K
php84-snappy-0.2.3-r0.apk
2025-04-10 12:16
5.0K
php84-pecl-vld-0.19.1-r0.apk
2025-07-20 13:27
15K
php84-pecl-uv-0.3.0-r0.apk
2024-10-28 12:47
49K
php84-pecl-solr-2.9.1-r0.apk
2025-11-25 05:10
87K
php84-pecl-runkit7-4.0.0_alpha6-r0.apk
2025-12-30 21:15
31K
php84-pecl-phpy-1.0.11-r1.apk
2025-05-14 00:10
40K
php84-pecl-oauth-2.0.10-r0.apk
2025-10-09 18:38
34K
php84-pecl-memprof-3.1.0-r0.apk
2025-02-24 17:23
13K
php84-pecl-mcrypt-1.0.9-r0.apk
2025-08-05 12:42
14K
php84-pecl-ev-1.2.3-r0.apk
2026-01-27 19:26
40K
php84-pecl-csv-0.4.3-r0.apk
2025-02-25 16:30
9.7K
php83-pecl-zmq-1.1.4-r0.apk
2024-10-25 19:02
30K
php83-pecl-vld-0.19.1-r0.apk
2025-07-20 13:27
15K
php83-pecl-uv-0.3.0-r0.apk
2024-10-25 19:02
49K
php83-pecl-phpy-1.0.11-r1.apk
2025-05-14 00:10
40K
php83-pecl-oauth-2.0.10-r0.apk
2025-10-09 18:38
34K
php83-pecl-jsmin-3.0.0-r0.apk
2024-10-25 19:02
10K
php83-pecl-excimer-1.2.5-r0.apk
2025-05-20 15:41
19K
php83-pecl-ev-1.2.3-r0.apk
2026-01-27 19:26
40K
php83-pecl-eio-3.1.4-r0.apk
2025-07-20 15:26
28K
php83-pecl-apfd-1.0.3-r0.apk
2024-10-25 19:02
4.3K
php82-zip-8.2.30-r2.apk
2026-01-14 05:56
27K
php82-xsl-8.2.30-r2.apk
2026-01-14 05:56
13K
php82-xmlwriter-8.2.30-r2.apk
2026-01-14 05:56
11K
php82-xmlreader-8.2.30-r2.apk
2026-01-14 05:56
13K
php82-xml-8.2.30-r2.apk
2026-01-14 05:56
18K
php82-tokenizer-8.2.30-r2.apk
2026-01-14 05:56
11K
php82-tidy-8.2.30-r2.apk
2026-01-14 05:56
18K
php82-sysvshm-8.2.30-r2.apk
2026-01-14 05:56
6.5K
php82-sysvsem-8.2.30-r2.apk
2026-01-14 05:56
5.5K
php82-sysvmsg-8.2.30-r2.apk
2026-01-14 05:56
7.6K
php82-sqlite3-8.2.30-r2.apk
2026-01-14 05:56
21K
php82-spx-0.4.21-r0.apk
2025-10-24 14:48
105K
php82-sodium-8.2.30-r2.apk
2026-01-14 05:56
26K
php82-sockets-8.2.30-r2.apk
2026-01-14 05:56
35K
php82-soap-8.2.30-r2.apk
2026-01-14 05:56
135K
php82-snmp-8.2.30-r2.apk
2026-01-14 05:56
21K
php82-snappy-0.2.3-r0.apk
2025-04-10 12:16
5.0K
php82-simplexml-8.2.30-r2.apk
2026-01-14 05:56
22K
php82-shmop-8.2.30-r2.apk
2026-01-14 05:56
5.8K
php82-session-8.2.30-r2.apk
2026-01-14 05:56
36K
php82-pspell-8.2.30-r2.apk
2026-01-14 05:56
7.8K
php82-posix-8.2.30-r2.apk
2026-01-14 05:56
11K
php82-phpdbg-8.2.30-r2.apk
2026-01-14 05:56
1.9M
php82-phar-8.2.30-r2.apk
2026-01-14 05:56
121K
php82-pgsql-8.2.30-r2.apk
2026-01-14 05:56
44K
php82-pecl-zstd-0.15.2-r0.apk
2025-10-24 14:48
16K
php82-pecl-zephir_parser-1.8.0-r0.apk
2025-09-29 12:34
63K
php82-pecl-yaml-2.3.0-r0.apk
2025-11-14 21:57
18K
php82-pecl-xlswriter-1.5.8-r0.apk
2025-10-24 14:48
221K
php82-pecl-xhprof-assets-2.3.10-r0.apk
2025-10-24 14:48
800K
php82-pecl-xhprof-2.3.10-r0.apk
2025-10-24 14:48
12K
php82-pecl-xdebug-3.5.0-r0.apk
2025-12-04 22:56
154K
php82-pecl-vld-0.19.1-r0.apk
2025-07-20 13:27
15K
php82-pecl-vips-1.0.13-r0.apk
2025-10-24 14:48
16K
php82-pecl-uuid-1.3.0-r0.apk
2025-10-24 14:48
6.2K
php82-pecl-uploadprogress-doc-2.0.2-r2.apk
2025-10-24 14:48
9.6K
php82-pecl-uploadprogress-2.0.2-r2.apk
2025-10-24 14:48
6.3K
php82-pecl-timezonedb-2025.2-r0.apk
2025-10-24 14:48
189K
php82-pecl-teds-1.3.0-r0.apk
2024-10-25 19:02
123K
php82-pecl-swoole-dev-6.1.6-r0.apk
2025-12-28 20:30
220K
php82-pecl-swoole-6.1.6-r0.apk
2025-12-28 20:30
929K
php82-pecl-ssh2-1.4.1-r0.apk
2025-10-24 14:48
26K
php82-pecl-smbclient-1.2.0_pre-r0.apk
2025-10-24 14:48
20K
php82-pecl-runkit7-4.0.0_alpha6-r1.apk
2024-10-25 19:02
29K
php82-pecl-redis-6.3.0-r0.apk
2025-11-07 23:32
182K
php82-pecl-rdkafka-6.0.5-r0.apk
2025-10-24 14:48
35K
php82-pecl-psr-1.2.0-r1.apk
2025-10-24 14:48
16K
php82-pecl-protobuf-4.33.4-r0.apk
2026-01-15 06:34
144K
php82-pecl-pcov-1.0.12-r0.apk
2025-10-24 14:48
9.2K
php82-pecl-opentelemetry-1.2.1-r0.apk
2025-10-24 14:48
12K
php82-pecl-oauth-2.0.10-r0.apk
2025-10-09 18:38
34K
php82-pecl-msgpack-3.0.0-r0.apk
2025-10-24 14:48
26K
php82-pecl-mongodb-2.1.4-r0.apk
2025-10-24 14:48
808K
php82-pecl-memcached-3.4.0-r0.apk
2025-10-24 14:48
45K
php82-pecl-memcache-8.2-r2.apk
2025-10-24 14:48
41K
php82-pecl-mcrypt-1.0.9-r0.apk
2025-10-24 14:48
14K
php82-pecl-maxminddb-1.13.0-r0.apk
2025-11-21 04:18
7.8K
php82-pecl-mailparse-3.1.9-r0.apk
2025-10-24 14:48
23K
php82-pecl-lzf-1.7.0-r0.apk
2025-10-24 14:48
7.0K
php82-pecl-luasandbox-4.1.2-r0.apk
2025-10-24 14:48
29K
php82-pecl-jsmin-3.0.0-r0.apk
2024-10-25 19:02
10K
php82-pecl-immutable_cache-6.1.0-r0.apk
2024-10-25 19:02
40K
php82-pecl-imagick-dev-3.8.1-r0.apk
2025-11-28 00:06
2.3K
php82-pecl-imagick-3.8.1-r0.apk
2025-11-28 00:06
102K
php82-pecl-igbinary-3.2.17_rc1-r0.apk
2025-11-27 21:27
34K
php82-pecl-grpc-1.76.0-r0.apk
2025-10-24 19:20
4.3M
php82-pecl-excimer-1.2.5-r0.apk
2025-05-20 15:41
19K
php82-pecl-event-3.1.4-r0.apk
2025-10-24 14:48
48K
php82-pecl-ds-1.6.0-r0.apk
2025-10-24 14:48
55K
php82-pecl-decimal-1.5.0-r1.apk
2025-10-24 14:48
17K
php82-pecl-couchbase-4.3.0-r0.apk
2025-10-24 14:48
5.2M
php82-pecl-brotli-0.18.3-r0.apk
2025-12-01 02:45
14K
php82-pecl-ast-1.1.3-r0.apk
2025-10-24 14:48
19K
php82-pecl-apfd-1.0.3-r0.apk
2024-10-25 19:02
4.3K
php82-pecl-apcu-5.1.28-r0.apk
2025-12-07 18:05
54K
php82-pecl-amqp-2.2.0-r0.apk
2026-01-03 03:16
53K
php82-pear-8.2.30-r2.apk
2026-01-14 05:56
338K
php82-pdo_sqlite-8.2.30-r2.apk
2026-01-14 05:56
12K
php82-pdo_pgsql-8.2.30-r2.apk
2026-01-14 05:56
19K
php82-pdo_odbc-8.2.30-r2.apk
2026-01-14 05:56
13K
php82-pdo_mysql-8.2.30-r2.apk
2026-01-14 05:56
13K
php82-pdo_dblib-8.2.30-r2.apk
2026-01-14 05:56
12K
php82-pdo-8.2.30-r2.apk
2026-01-14 05:56
42K
php82-pdlib-1.1.0-r2.apk
2026-01-17 22:42
481K
php82-pcntl-8.2.30-r2.apk
2026-01-14 05:56
14K
php82-openssl-8.2.30-r2.apk
2026-01-14 05:56
71K
php82-opcache-8.2.30-r2.apk
2026-01-14 05:56
369K
php82-odbc-8.2.30-r2.apk
2026-01-14 05:56
24K
php82-mysqlnd-8.2.30-r2.apk
2026-01-14 05:56
77K
php82-mysqli-8.2.30-r2.apk
2026-01-14 05:56
40K
php82-mbstring-8.2.30-r2.apk
2026-01-14 05:56
628K
php82-litespeed-8.2.30-r2.apk
2026-01-14 05:56
1.8M
php82-ldap-8.2.30-r2.apk
2026-01-14 05:56
30K
php82-intl-8.2.30-r2.apk
2026-01-14 05:56
150K
php82-imap-8.2.30-r2.apk
2026-01-14 05:56
32K
php82-iconv-8.2.30-r2.apk
2026-01-14 05:56
18K
php82-gmp-8.2.30-r2.apk
2026-01-14 05:56
21K
php82-gettext-8.2.30-r2.apk
2026-01-14 05:56
5.7K
php82-gd-8.2.30-r2.apk
2026-01-14 05:56
123K
php82-ftp-8.2.30-r2.apk
2026-01-14 05:56
23K
php82-fpm-8.2.30-r2.apk
2026-01-14 05:56
1.9M
php82-fileinfo-8.2.30-r2.apk
2026-01-14 05:56
378K
php82-ffi-8.2.30-r2.apk
2026-01-14 05:56
67K
php82-exif-8.2.30-r2.apk
2026-01-14 05:56
29K
php82-enchant-8.2.30-r2.apk
2026-01-14 05:56
8.3K
php82-embed-8.2.30-r2.apk
2026-01-14 05:56
1.8M
php82-dom-8.2.30-r2.apk
2026-01-14 05:56
60K
php82-doc-8.2.30-r2.apk
2026-01-14 05:56
73K
php82-dev-8.2.30-r2.apk
2026-01-14 05:56
968K
php82-dbg-8.2.30-r2.apk
2026-01-14 05:56
41M
php82-dba-8.2.30-r2.apk
2026-01-14 05:56
23K
php82-curl-8.2.30-r2.apk
2026-01-14 05:56
35K
php82-ctype-8.2.30-r2.apk
2026-01-14 05:56
4.6K
php82-common-8.2.30-r2.apk
2026-01-14 05:56
25K
php82-cgi-8.2.30-r2.apk
2026-01-14 05:56
1.8M
php82-calendar-8.2.30-r2.apk
2026-01-14 05:56
12K
php82-bz2-8.2.30-r2.apk
2026-01-14 05:56
9.7K
php82-bcmath-8.2.30-r2.apk
2026-01-14 05:56
17K
php82-apache2-8.2.30-r2.apk
2026-01-14 05:56
1.8M
php82-8.2.30-r2.apk
2026-01-14 05:56
1.8M
php81-zip-8.1.34-r1.apk
2026-01-14 05:56
24K
php81-xsl-8.1.34-r1.apk
2026-01-14 05:56
13K
php81-xmlwriter-8.1.34-r1.apk
2026-01-14 05:56
11K
php81-xmlreader-8.1.34-r1.apk
2026-01-14 05:56
13K
php81-xml-8.1.34-r1.apk
2026-01-14 05:56
18K
php81-tokenizer-8.1.34-r1.apk
2026-01-14 05:56
11K
php81-tidy-8.1.34-r1.apk
2026-01-14 05:56
18K
php81-tideways_xhprof-5.0.4-r1.apk
2024-10-25 19:02
13K
php81-sysvshm-8.1.34-r1.apk
2026-01-14 05:56
6.4K
php81-sysvsem-8.1.34-r1.apk
2026-01-14 05:56
5.5K
php81-sysvmsg-8.1.34-r1.apk
2026-01-14 05:56
7.3K
php81-sqlite3-8.1.34-r1.apk
2026-01-14 05:56
20K
php81-spx-0.4.21-r0.apk
2025-10-09 07:23
105K
php81-sodium-8.1.34-r1.apk
2026-01-14 05:56
25K
php81-sockets-8.1.34-r1.apk
2026-01-14 05:56
34K
php81-soap-8.1.34-r1.apk
2026-01-14 05:56
133K
php81-snmp-8.1.34-r1.apk
2026-01-14 05:56
20K
php81-simplexml-8.1.34-r1.apk
2026-01-14 05:56
22K
php81-shmop-8.1.34-r1.apk
2026-01-14 05:56
5.9K
php81-session-8.1.34-r1.apk
2026-01-14 05:56
35K
php81-pspell-8.1.34-r1.apk
2026-01-14 05:56
7.8K
php81-posix-8.1.34-r1.apk
2026-01-14 05:56
11K
php81-phpdbg-8.1.34-r1.apk
2026-01-14 05:56
1.8M
php81-phar-8.1.34-r1.apk
2026-01-14 05:56
120K
php81-pgsql-8.1.34-r1.apk
2026-01-14 05:56
43K
php81-pecl-zstd-0.15.2-r0.apk
2025-09-09 20:06
16K
php81-pecl-zephir_parser-1.8.0-r0.apk
2025-09-29 12:34
63K
php81-pecl-yaml-2.3.0-r0.apk
2025-11-14 21:57
18K
php81-pecl-xmlrpc-1.0.0_rc3-r2.apk
2024-10-25 19:02
35K
php81-pecl-xlswriter-1.5.8-r0.apk
2024-11-11 01:44
222K
php81-pecl-xhprof-assets-2.3.10-r0.apk
2024-10-25 19:02
801K
php81-pecl-xhprof-2.3.10-r0.apk
2024-10-25 19:02
12K
php81-pecl-xdebug-3.5.0-r0.apk
2025-12-04 22:56
154K
php81-pecl-vips-1.0.13-r0.apk
2024-10-25 19:02
16K
php81-pecl-uuid-1.3.0-r0.apk
2025-05-14 00:10
6.3K
php81-pecl-uploadprogress-doc-2.0.2-r1.apk
2024-10-25 19:02
9.9K
php81-pecl-uploadprogress-2.0.2-r1.apk
2024-10-25 19:02
6.4K
php81-pecl-timezonedb-2025.2-r0.apk
2025-03-27 11:06
189K
php81-pecl-swoole-dev-6.1.6-r0.apk
2025-12-28 20:30
220K
php81-pecl-swoole-6.1.6-r0.apk
2025-12-28 20:30
926K
php81-pecl-ssh2-1.4.1-r0.apk
2024-10-25 19:02
27K
php81-pecl-smbclient-1.2.0_pre-r0.apk
2024-12-10 19:02
20K
php81-pecl-redis-6.3.0-r0.apk
2025-11-07 23:32
180K
php81-pecl-rdkafka-6.0.5-r0.apk
2024-11-04 12:52
35K
php81-pecl-psr-1.2.0-r0.apk
2024-10-25 19:02
17K
php81-pecl-protobuf-4.33.4-r0.apk
2026-01-15 06:34
144K
php81-pecl-pcov-1.0.12-r0.apk
2024-12-04 17:17
9.1K
php81-pecl-opentelemetry-1.2.1-r0.apk
2025-10-04 23:14
12K
php81-pecl-oauth-2.0.10-r0.apk
2025-10-09 18:38
34K
php81-pecl-msgpack-3.0.0-r0.apk
2024-10-25 19:02
26K
php81-pecl-mongodb-2.1.4-r0.apk
2025-10-09 07:23
808K
php81-pecl-memprof-3.1.0-r0.apk
2025-02-24 17:23
13K
php81-pecl-memcached-3.4.0-r0.apk
2025-10-13 10:34
45K
php81-pecl-memcache-8.2-r1.apk
2024-10-25 19:02
41K
php81-pecl-mcrypt-1.0.9-r0.apk
2025-08-05 12:42
14K
php81-pecl-maxminddb-1.13.0-r0.apk
2025-11-21 04:18
7.8K
php81-pecl-mailparse-3.1.9-r0.apk
2025-09-30 12:38
22K
php81-pecl-lzf-1.7.0-r0.apk
2024-10-25 19:02
7.0K
php81-pecl-luasandbox-4.1.2-r0.apk
2024-10-25 19:02
29K
php81-pecl-jsmin-3.0.0-r0.apk
2024-10-25 19:02
10K
php81-pecl-immutable_cache-6.1.0-r0.apk
2024-10-25 19:02
40K
php81-pecl-imagick-dev-3.8.1-r0.apk
2025-11-28 00:06
2.3K
php81-pecl-imagick-3.8.1-r0.apk
2025-11-28 00:06
102K
php81-pecl-igbinary-3.2.17_rc1-r0.apk
2025-11-27 21:27
33K
php81-pecl-grpc-1.76.0-r0.apk
2025-10-24 19:20
4.3M
php81-pecl-event-3.1.4-r0.apk
2024-10-25 19:02
48K
php81-pecl-ds-1.6.0-r0.apk
2025-05-10 03:12
55K
php81-pecl-decimal-1.5.0-r1.apk
2024-10-25 19:02
17K
php81-pecl-csv-0.4.3-r0.apk
2025-02-25 16:30
9.8K
php81-pecl-couchbase-4.3.0-r0.apk
2025-06-15 03:57
5.1M
php81-pecl-brotli-0.18.3-r0.apk
2025-12-01 02:45
14K
php81-pecl-ast-1.1.3-r0.apk
2025-08-10 17:43
19K
php81-pecl-apcu-5.1.28-r0.apk
2025-12-07 18:05
54K
php81-pecl-amqp-2.2.0-r0.apk
2026-01-03 03:16
53K
php81-pear-8.1.34-r1.apk
2026-01-14 05:56
338K
php81-pdo_sqlite-8.1.34-r1.apk
2026-01-14 05:56
12K
php81-pdo_pgsql-8.1.34-r1.apk
2026-01-14 05:56
19K
php81-pdo_odbc-8.1.34-r1.apk
2026-01-14 05:56
12K
php81-pdo_mysql-8.1.34-r1.apk
2026-01-14 05:56
13K
php81-pdo_dblib-8.1.34-r1.apk
2026-01-14 05:56
12K
php81-pdo-8.1.34-r1.apk
2026-01-14 05:56
40K
php81-pcntl-8.1.34-r1.apk
2026-01-14 05:56
13K
php81-openssl-8.1.34-r1.apk
2026-01-14 05:56
70K
php81-opcache-8.1.34-r1.apk
2026-01-14 05:56
374K
php81-odbc-8.1.34-r1.apk
2026-01-14 05:56
23K
php81-mysqlnd-8.1.34-r1.apk
2026-01-14 05:56
77K
php81-mysqli-8.1.34-r1.apk
2026-01-14 05:56
39K
php81-mbstring-8.1.34-r1.apk
2026-01-14 05:56
570K
php81-litespeed-8.1.34-r1.apk
2026-01-14 05:56
1.8M
php81-ldap-8.1.34-r1.apk
2026-01-14 05:56
30K
php81-intl-8.1.34-r1.apk
2026-01-14 05:56
133K
php81-imap-8.1.34-r1.apk
2026-01-14 05:56
32K
php81-iconv-8.1.34-r1.apk
2026-01-14 05:56
17K
php81-gmp-8.1.34-r1.apk
2026-01-14 05:56
20K
php81-gettext-8.1.34-r1.apk
2026-01-14 05:56
5.6K
php81-gd-8.1.34-r1.apk
2026-01-14 05:56
123K
php81-ftp-8.1.34-r1.apk
2026-01-14 05:56
23K
php81-fpm-8.1.34-r1.apk
2026-01-14 05:56
1.8M
php81-fileinfo-8.1.34-r1.apk
2026-01-14 05:56
377K
php81-ffi-8.1.34-r1.apk
2026-01-14 05:56
66K
php81-exif-8.1.34-r1.apk
2026-01-14 05:56
29K
php81-enchant-8.1.34-r1.apk
2026-01-14 05:56
8.3K
php81-embed-8.1.34-r1.apk
2026-01-14 05:56
1.7M
php81-dom-8.1.34-r1.apk
2026-01-14 05:56
59K
php81-doc-8.1.34-r1.apk
2026-01-14 05:56
68K
php81-dev-8.1.34-r1.apk
2026-01-14 05:56
939K
php81-dba-8.1.34-r1.apk
2026-01-14 05:56
22K
php81-curl-8.1.34-r1.apk
2026-01-14 05:56
33K
php81-ctype-8.1.34-r1.apk
2026-01-14 05:56
4.7K
php81-common-8.1.34-r1.apk
2026-01-14 05:56
25K
php81-cgi-8.1.34-r1.apk
2026-01-14 05:56
1.8M
php81-calendar-8.1.34-r1.apk
2026-01-14 05:56
12K
php81-bz2-8.1.34-r1.apk
2026-01-14 05:56
9.7K
php81-bcmath-8.1.34-r1.apk
2026-01-14 05:56
17K
php81-apache2-8.1.34-r1.apk
2026-01-14 05:56
1.7M
php81-8.1.34-r1.apk
2026-01-14 05:56
1.8M
phosh-tour-systemd-0.52.0-r0.apk
2026-01-04 16:13
1.8K
phosh-tour-lang-0.52.0-r0.apk
2026-01-04 16:13
36K
phosh-tour-0.52.0-r0.apk
2026-01-04 16:13
39K
phosh-osk-data-uk-0.42.0-r0.apk
2025-12-16 16:43
16M
phosh-osk-data-se-0.42.0-r0.apk
2025-12-16 16:43
15M
phosh-osk-data-ru-0.42.0-r0.apk
2025-12-16 16:43
20M
phosh-osk-data-pt-0.42.0-r0.apk
2025-12-16 16:43
57M
phosh-osk-data-pl-0.42.0-r0.apk
2025-12-16 16:43
57M
phosh-osk-data-nl-0.42.0-r0.apk
2025-12-16 16:43
54M
phosh-osk-data-it-0.42.0-r0.apk
2025-12-16 16:43
58M
phosh-osk-data-fi-0.42.0-r0.apk
2025-12-16 16:43
64M
phosh-osk-data-es-0.42.0-r0.apk
2025-12-16 16:43
52M
phosh-osk-data-de-0.42.0-r0.apk
2025-12-16 16:43
64M
phosh-osk-data-0.42.0-r0.apk
2025-12-16 16:43
1.3K
phoronix-test-suite-doc-10.8.4-r2.apk
2024-10-25 19:02
287K
phoronix-test-suite-bash-completion-10.8.4-r2.apk
2024-10-25 19:02
1.8K
phoronix-test-suite-10.8.4-r2.apk
2024-10-25 19:02
3.9M
pgcat-openrc-1.2.0-r1.apk
2025-01-01 17:38
1.9K
pgcat-1.2.0-r1.apk
2025-01-01 17:38
2.6M
pfetch-doc-1.9.4-r0.apk
2025-10-22 22:32
5.7K
pfetch-1.9.4-r0.apk
2025-10-22 22:32
23K
petitboot-doc-1.15-r1.apk
2026-01-15 05:27
8.1K
petitboot-dbg-1.15-r1.apk
2026-01-15 05:27
592K
petitboot-1.15-r1.apk
2026-01-15 05:27
189K
pest-language-server-0.3.9-r0.apk
2024-10-25 19:02
1.0M
persistent-cache-cpp-doc-1.0.9-r0.apk
2025-09-08 01:00
3.2K
persistent-cache-cpp-dev-1.0.9-r0.apk
2025-09-08 01:00
18K
persistent-cache-cpp-1.0.9-r0.apk
2025-09-08 01:00
44K
perl-xml-xpathengine-doc-0.14-r0.apk
2025-07-08 16:54
11K
perl-xml-xpathengine-0.14-r0.apk
2025-07-08 16:54
22K
perl-xml-rpc-doc-2.1-r0.apk
2024-10-25 19:02
4.9K
perl-xml-rpc-2.1-r0.apk
2024-10-25 19:02
5.7K
perl-xml-bare-doc-0.53-r14.apk
2025-06-30 08:08
11K
perl-xml-bare-0.53-r14.apk
2025-06-30 08:08
28K
perl-web-scraper-doc-0.38-r0.apk
2025-07-11 16:50
8.1K
perl-web-scraper-0.38-r0.apk
2025-07-11 16:50
7.5K
perl-web-machine-doc-0.17-r0.apk
2025-06-13 18:17
29K
perl-web-machine-0.17-r0.apk
2025-06-13 18:17
20K
perl-url-encode-doc-0.03-r4.apk
2024-10-25 19:02
4.7K
perl-url-encode-0.03-r4.apk
2024-10-25 19:02
5.2K
perl-uri-ws-doc-0.03-r0.apk
2025-03-21 16:21
4.3K
perl-uri-ws-0.03-r0.apk
2025-03-21 16:21
2.3K
perl-uri-find-doc-20160806-r0.apk
2025-03-23 07:58
9.1K
perl-uri-find-20160806-r0.apk
2025-03-23 07:58
14K
perl-tree-simple-visitorfactory-doc-0.16-r0.apk
2025-03-21 16:21
46K
perl-tree-simple-visitorfactory-0.16-r0.apk
2025-03-21 16:21
20K
perl-tie-toobject-doc-0.03-r0.apk
2025-03-21 16:21
3.2K
perl-tie-toobject-0.03-r0.apk
2025-03-21 16:21
2.6K
perl-throwable-doc-1.001-r1.apk
2024-10-25 19:02
8.0K
perl-throwable-1.001-r1.apk
2024-10-25 19:02
6.2K
perl-text-worddiff-doc-0.09-r0.apk
2025-06-10 00:53
14K
perl-text-worddiff-0.09-r0.apk
2025-06-10 00:53
10K
perl-text-simpletable-doc-2.07-r0.apk
2025-03-21 16:21
3.5K
perl-text-simpletable-2.07-r0.apk
2025-03-21 16:21
4.5K
perl-text-german-doc-0.06-r0.apk
2025-06-07 02:00
3.0K
perl-text-german-0.06-r0.apk
2025-06-07 02:00
13K
perl-text-brew-doc-0.02-r5.apk
2024-10-25 19:02
4.1K
perl-text-brew-0.02-r5.apk
2024-10-25 19:02
4.5K
perl-test-www-mechanize-doc-1.60-r0.apk
2025-04-13 21:31
10K
perl-test-www-mechanize-catalyst-doc-0.62-r0.apk
2025-04-20 04:32
6.2K
perl-test-www-mechanize-catalyst-0.62-r0.apk
2025-04-20 04:32
7.4K
perl-test-www-mechanize-1.60-r0.apk
2025-04-13 21:31
15K
perl-test-utf8-doc-1.03-r0.apk
2024-11-16 15:17
4.9K
perl-test-utf8-1.03-r0.apk
2024-11-16 15:17
5.6K
perl-test-trap-doc-0.3.5-r1.apk
2024-10-25 19:02
20K
perl-test-trap-0.3.5-r1.apk
2024-10-25 19:02
20K
perl-test-roo-doc-1.004-r3.apk
2024-10-25 19:02
15K
perl-test-roo-1.004-r3.apk
2024-10-25 19:02
12K
perl-test-perl-critic-doc-1.04-r0.apk
2025-07-11 16:50
6.4K
perl-test-perl-critic-1.04-r0.apk
2025-07-11 16:50
6.8K
perl-test-modern-doc-0.013-r3.apk
2024-10-25 19:02
9.9K
perl-test-modern-0.013-r3.apk
2024-10-25 19:02
15K
perl-test-kwalitee-doc-1.28-r0.apk
2025-07-12 13:43
6.9K
perl-test-kwalitee-1.28-r0.apk
2025-07-12 13:43
6.4K
perl-test-file-doc-1.995-r0.apk
2025-04-19 17:54
6.8K
perl-test-file-1.995-r0.apk
2025-04-19 17:54
11K
perl-test-expect-doc-0.34-r0.apk
2025-04-21 17:35
3.6K
perl-test-expect-0.34-r0.apk
2025-04-21 17:35
3.5K
perl-test-distribution-doc-2.00-r1.apk
2024-10-25 19:02
6.1K
perl-test-distribution-2.00-r1.apk
2024-10-25 19:02
7.8K
perl-test-api-doc-0.010-r2.apk
2024-10-25 19:02
4.3K
perl-test-api-0.010-r2.apk
2024-10-25 19:02
5.1K
perl-term-ui-doc-0.50-r1.apk
2024-10-25 19:02
8.5K
perl-term-ui-0.50-r1.apk
2024-10-25 19:02
10K
perl-term-size-doc-0.211-r5.apk
2025-06-30 08:08
3.8K
perl-term-size-0.211-r5.apk
2025-06-30 08:08
5.6K
perl-template-tiny-doc-1.16-r0.apk
2025-07-24 13:19
5.0K
perl-template-tiny-1.16-r0.apk
2025-07-24 13:19
5.5K
perl-template-timer-doc-1.00-r0.apk
2025-04-13 21:31
3.7K
perl-template-timer-1.00-r0.apk
2025-04-13 21:31
3.4K
perl-template-plugin-number-format-doc-1.06-r4.apk
2024-10-25 19:02
4.4K
perl-template-plugin-number-format-1.06-r4.apk
2024-10-25 19:02
4.9K
perl-template-plugin-csv-doc-0.04-r3.apk
2024-10-25 19:02
3.0K
perl-template-plugin-csv-0.04-r3.apk
2024-10-25 19:02
2.7K
perl-task-catalyst-doc-4.02-r0.apk
2025-06-15 04:47
3.7K
perl-task-catalyst-4.02-r0.apk
2025-06-15 04:47
2.9K
perl-sys-virt-doc-11.10.0-r0.apk
2025-12-03 16:17
106K
perl-sys-virt-11.10.0-r0.apk
2025-12-03 16:17
210K
perl-syntax-operator-equ-doc-0.10-r1.apk
2025-06-30 08:08
6.6K
perl-syntax-operator-equ-0.10-r1.apk
2025-06-30 08:08
7.8K
perl-syntax-keyword-match-doc-0.15-r1.apk
2025-06-30 08:08
7.8K
perl-syntax-keyword-match-0.15-r1.apk
2025-06-30 08:08
14K
perl-string-toidentifier-en-doc-0.12-r0.apk
2025-06-09 12:06
5.6K
perl-string-toidentifier-en-0.12-r0.apk
2025-06-09 12:06
5.4K
perl-string-escape-doc-2010.002-r0.apk
2025-04-03 00:11
7.8K
perl-string-escape-2010.002-r0.apk
2025-04-03 00:11
8.9K
perl-string-camelcase-doc-0.04-r2.apk
2024-10-25 19:02
3.5K
perl-string-camelcase-0.04-r2.apk
2024-10-25 19:02
3.2K
perl-statistics-descriptive-doc-3.0801-r0.apk
2024-10-25 19:02
38K
perl-statistics-descriptive-3.0801-r0.apk
2024-10-25 19:02
30K
perl-statistics-basic-doc-1.6611-r0.apk
2024-10-25 19:02
50K
perl-statistics-basic-1.6611-r0.apk
2024-10-25 19:02
9.2K
perl-starman-doc-0.4017-r0.apk
2024-10-25 19:02
10K
perl-starman-0.4017-r0.apk
2024-10-25 19:02
13K
perl-sql-abstract-more-doc-1.44-r0.apk
2025-07-14 05:59
17K
perl-sql-abstract-more-1.44-r0.apk
2025-07-14 05:59
28K
perl-sql-abstract-classic-doc-1.91-r1.apk
2024-10-25 19:02
20K
perl-sql-abstract-classic-1.91-r1.apk
2024-10-25 19:02
30K
perl-sort-naturally-doc-1.03-r4.apk
2024-10-25 19:02
5.5K
perl-sort-naturally-1.03-r4.apk
2024-10-25 19:02
8.7K
perl-software-license-doc-0.104007-r0.apk
2025-07-08 16:53
50K
perl-software-license-0.104007-r0.apk
2025-07-08 16:53
107K
perl-soap-lite-doc-1.27-r5.apk
2024-10-25 19:02
90K
perl-soap-lite-1.27-r5.apk
2024-10-25 19:02
110K
perl-snowball-swedish-doc-1.2-r0.apk
2025-06-08 16:03
3.9K
perl-snowball-swedish-1.2-r0.apk
2025-06-08 16:03
5.2K
perl-snowball-norwegian-doc-1.2-r0.apk
2025-06-08 16:03
3.9K
perl-snowball-norwegian-1.2-r0.apk
2025-06-08 16:03
5.3K
perl-snmp-info-doc-3.974000-r0.apk
2025-09-12 04:49
390K
perl-snmp-info-3.974000-r0.apk
2025-09-12 04:49
337K
perl-snmp-doc-5.0404-r14.apk
2025-06-30 08:08
14K
perl-snmp-5.0404-r14.apk
2025-06-30 08:08
69K
perl-smart-comments-doc-1.06-r0.apk
2025-07-07 17:02
8.5K
perl-smart-comments-1.06-r0.apk
2025-07-07 17:02
12K
perl-shell-guess-doc-0.10-r0.apk
2025-06-07 14:55
5.8K
perl-shell-guess-0.10-r0.apk
2025-06-07 14:55
6.0K
perl-shell-config-generate-doc-0.34-r0.apk
2025-06-08 16:03
6.8K
perl-shell-config-generate-0.34-r0.apk
2025-06-08 16:03
7.8K
perl-session-storage-secure-doc-1.000-r2.apk
2024-10-25 19:02
7.4K
perl-session-storage-secure-1.000-r2.apk
2024-10-25 19:02
8.8K
perl-regexp-trie-doc-0.02-r0.apk
2025-07-12 07:22
3.3K
perl-regexp-trie-0.02-r0.apk
2025-07-12 07:22
3.0K
perl-prereqscanner-notquitelite-doc-0.9917-r0.apk
2025-07-12 13:43
49K
perl-prereqscanner-notquitelite-0.9917-r0.apk
2025-07-12 13:43
41K
perl-pod-tidy-doc-0.10-r1.apk
2024-10-25 19:02
11K
perl-pod-tidy-0.10-r1.apk
2024-10-25 19:02
10K
perl-pod-cpandoc-doc-0.16-r6.apk
2024-10-25 19:02
4.9K
perl-pod-cpandoc-0.16-r6.apk
2024-10-25 19:02
4.6K
perl-plack-test-externalserver-doc-0.02-r0.apk
2025-03-21 16:21
3.1K
perl-plack-test-externalserver-0.02-r0.apk
2025-03-21 16:21
2.8K
perl-plack-middleware-reverseproxy-doc-0.16-r2.apk
2024-10-25 19:02
3.1K
perl-plack-middleware-reverseproxy-0.16-r2.apk
2024-10-25 19:02
3.2K
perl-plack-middleware-removeredundantbody-doc-0.09-r0.apk
2024-12-27 14:02
3.1K
perl-plack-middleware-removeredundantbody-0.09-r0.apk
2024-12-27 14:02
2.5K
perl-plack-middleware-methodoverride-doc-0.20-r0.apk
2025-03-21 16:21
4.0K
perl-plack-middleware-methodoverride-0.20-r0.apk
2025-03-21 16:21
3.6K
perl-plack-middleware-fixmissingbodyinredirect-doc-0.12-r0.apk
2024-12-26 09:36
3.1K
perl-plack-middleware-fixmissingbodyinredirect-0.12-r0.apk
2024-12-26 09:36
3.1K
perl-plack-middleware-expires-doc-0.06-r3.apk
2024-10-25 19:02
3.3K
perl-plack-middleware-expires-0.06-r3.apk
2024-10-25 19:02
3.9K
perl-perlio-locale-doc-0.10-r13.apk
2025-06-30 08:08
3.1K
perl-perlio-locale-0.10-r13.apk
2025-06-30 08:08
4.4K
perl-path-iter-doc-0.2-r3.apk
2024-10-25 19:02
5.2K
perl-path-iter-0.2-r3.apk
2024-10-25 19:02
5.2K
perl-path-dispatcher-doc-1.08-r0.apk
2025-06-09 12:05
38K
perl-path-dispatcher-1.08-r0.apk
2025-06-09 12:05
14K
perl-parse-distname-doc-0.05-r0.apk
2025-07-11 08:46
4.4K
perl-parse-distname-0.05-r0.apk
2025-07-11 08:46
5.5K
perl-pango-doc-1.227-r12.apk
2025-06-30 08:08
82K
perl-pango-1.227-r12.apk
2025-06-30 08:08
78K
perl-openapi-client-doc-1.07-r0.apk
2024-10-25 19:02
7.4K
perl-openapi-client-1.07-r0.apk
2024-10-25 19:02
8.6K
perl-object-signature-doc-1.08-r0.apk
2025-04-04 11:56
5.4K
perl-object-signature-1.08-r0.apk
2025-04-04 11:56
3.7K
perl-number-tolerant-doc-1.710-r0.apk
2024-10-25 19:02
26K
perl-number-tolerant-1.710-r0.apk
2024-10-25 19:02
15K
perl-number-format-doc-1.76-r1.apk
2024-10-25 19:02
9.0K
perl-number-format-1.76-r1.apk
2024-10-25 19:02
15K
perl-netaddr-mac-doc-0.99-r0.apk
2025-12-21 07:46
8.1K
perl-netaddr-mac-0.99-r0.apk
2025-12-21 07:46
10K
perl-net-patricia-doc-1.24-r0.apk
2025-11-21 03:24
6.3K
perl-net-patricia-1.24-r0.apk
2025-11-21 03:24
21K
perl-net-irr-doc-0.10-r0.apk
2024-10-25 19:02
5.2K
perl-net-irr-0.10-r0.apk
2024-10-25 19:02
5.5K
perl-net-curl-promiser-mojo-0.20-r0.apk
2024-10-25 19:02
3.1K
perl-net-curl-promiser-ioasync-0.20-r0.apk
2024-10-25 19:02
3.0K
perl-net-curl-promiser-doc-0.20-r0.apk
2024-10-25 19:02
12K
perl-net-curl-promiser-anyevent-0.20-r0.apk
2024-10-25 19:02
2.7K
perl-net-curl-promiser-0.20-r0.apk
2024-10-25 19:02
8.9K
perl-net-curl-doc-0.58-r0.apk
2026-01-14 18:19
39K
perl-net-curl-0.58-r0.apk
2026-01-14 18:19
62K
perl-net-async-redis-xs-doc-1.001-r2.apk
2025-06-30 08:08
5.4K
perl-net-async-redis-xs-1.001-r2.apk
2025-06-30 08:08
8.8K
perl-net-async-redis-doc-6.006-r0.apk
2024-12-11 16:22
66K
perl-net-async-redis-6.006-r0.apk
2024-12-11 16:22
58K
perl-net-amqp-rabbitmq-doc-2.40014-r1.apk
2025-11-21 04:18
11K
perl-net-amqp-rabbitmq-2.40014-r1.apk
2025-11-21 04:18
80K
perl-musicbrainz-discid-doc-0.06-r2.apk
2025-06-30 08:08
4.4K
perl-musicbrainz-discid-0.06-r2.apk
2025-06-30 08:08
9.1K
perl-moox-typetiny-doc-0.002003-r0.apk
2025-06-09 12:05
3.2K
perl-moox-typetiny-0.002003-r0.apk
2025-06-09 12:05
3.6K
perl-moosex-types-stringlike-doc-0.003-r0.apk
2025-03-15 14:08
3.6K
perl-moosex-types-stringlike-0.003-r0.apk
2025-03-15 14:08
3.0K
perl-moosex-types-path-tiny-doc-0.012-r0.apk
2025-03-15 14:08
4.1K
perl-moosex-types-path-tiny-0.012-r0.apk
2025-03-15 14:08
4.0K
perl-moosex-types-loadableclass-doc-0.016-r0.apk
2025-04-30 22:48
3.7K
perl-moosex-types-loadableclass-0.016-r0.apk
2025-04-30 22:48
3.2K
perl-moosex-traits-pluggable-doc-0.12-r0.apk
2025-05-01 06:46
4.2K
perl-moosex-traits-pluggable-0.12-r0.apk
2025-05-01 06:46
4.9K
perl-moosex-simpleconfig-doc-0.11-r0.apk
2025-03-16 04:03
4.2K
perl-moosex-simpleconfig-0.11-r0.apk
2025-03-16 04:03
3.8K
perl-moosex-role-parameterized-doc-1.11-r0.apk
2025-03-15 14:08
24K
perl-moosex-role-parameterized-1.11-r0.apk
2025-03-15 14:08
7.7K
perl-moosex-relatedclassroles-doc-0.004-r0.apk
2025-05-01 06:46
3.3K
perl-moosex-relatedclassroles-0.004-r0.apk
2025-05-01 06:46
2.8K
perl-moosex-object-pluggable-doc-0.0014-r0.apk
2025-04-21 17:33
5.5K
perl-moosex-object-pluggable-0.0014-r0.apk
2025-04-21 17:33
6.0K
perl-moosex-nonmoose-doc-0.27-r1.apk
2025-06-19 10:55
9.4K
perl-moosex-nonmoose-0.27-r1.apk
2025-06-19 10:55
8.2K
perl-moosex-methodattributes-doc-0.32-r0.apk
2025-03-21 16:21
22K
perl-moosex-methodattributes-0.32-r0.apk
2025-03-21 16:21
8.6K
perl-moosex-markasmethods-doc-0.15-r0.apk
2025-06-04 23:00
5.2K
perl-moosex-markasmethods-0.15-r0.apk
2025-06-04 23:00
5.1K
perl-moosex-getopt-doc-0.78-r0.apk
2025-03-16 04:03
25K
perl-moosex-getopt-0.78-r0.apk
2025-03-16 04:03
14K
perl-moosex-emulate-class-accessor-fast-doc-0.009032-r0.apk
2025-03-16 04:03
5.3K
perl-moosex-emulate-class-accessor-fast-0.009032-r0.apk
2025-03-16 04:03
5.4K
perl-moosex-configfromfile-doc-0.14-r0.apk
2025-03-16 04:03
4.2K
perl-moosex-configfromfile-0.14-r0.apk
2025-03-16 04:03
4.1K
perl-mojolicious-plugin-openapi-doc-5.11-r0.apk
2025-03-21 16:21
33K
perl-mojolicious-plugin-openapi-5.11-r0.apk
2025-03-21 16:21
28K
perl-module-path-doc-0.19-r0.apk
2025-06-08 16:03
5.8K
perl-module-path-0.19-r0.apk
2025-06-08 16:03
4.7K
perl-module-extract-version-doc-1.119-r0.apk
2025-09-06 16:20
3.5K
perl-module-extract-version-1.119-r0.apk
2025-09-06 16:20
3.3K
perl-module-cpants-analyse-doc-1.02-r0.apk
2025-07-12 13:43
28K
perl-module-cpants-analyse-1.02-r0.apk
2025-07-12 13:43
27K
perl-memoize-expirelru-doc-0.56-r0.apk
2025-06-08 16:03
3.8K
perl-memoize-expirelru-0.56-r0.apk
2025-06-08 16:03
6.2K
perl-math-libm-doc-1.00-r15.apk
2025-06-30 08:08
3.2K
perl-math-libm-1.00-r15.apk
2025-06-30 08:08
10K
perl-math-int64-doc-0.57-r2.apk
2025-06-30 08:08
11K
perl-math-int64-0.57-r2.apk
2025-06-30 08:08
28K
perl-lwp-useragent-cached-doc-0.08-r1.apk
2024-10-25 19:02
5.7K
perl-lwp-useragent-cached-0.08-r1.apk
2024-10-25 19:02
6.4K
perl-log-message-simple-doc-0.10-r3.apk
2024-10-25 19:02
4.0K
perl-log-message-simple-0.10-r3.apk
2024-10-25 19:02
4.2K
perl-log-message-doc-0.08-r3.apk
2024-10-25 19:02
12K
perl-log-message-0.08-r3.apk
2024-10-25 19:02
11K
perl-log-fu-doc-0.31-r4.apk
2024-10-25 19:02
7.3K
perl-log-fu-0.31-r4.apk
2024-10-25 19:02
11K
perl-linux-pid-doc-0.04-r15.apk
2025-06-30 08:08
3.1K
perl-linux-pid-0.04-r15.apk
2025-06-30 08:08
4.6K
perl-lingua-stem-snowball-da-doc-1.01-r0.apk
2025-06-08 16:03
3.0K
perl-lingua-stem-snowball-da-1.01-r0.apk
2025-06-08 16:03
4.3K
perl-lingua-stem-ru-doc-0.04-r0.apk
2025-06-06 14:57
3.7K
perl-lingua-stem-ru-0.04-r0.apk
2025-06-06 14:57
4.1K
perl-lingua-stem-it-doc-0.02-r0.apk
2025-06-06 14:57
3.5K
perl-lingua-stem-it-0.02-r0.apk
2025-06-06 14:57
5.2K
perl-lingua-stem-fr-doc-0.02-r0.apk
2025-06-06 14:57
3.9K
perl-lingua-stem-fr-0.02-r0.apk
2025-06-06 14:57
6.1K
perl-lingua-stem-doc-2.31-r0.apk
2025-06-08 16:03
34K
perl-lingua-stem-2.31-r0.apk
2025-06-08 16:03
12K
perl-lingua-pt-stemmer-doc-0.02-r0.apk
2025-06-07 02:00
4.3K
perl-lingua-pt-stemmer-0.02-r0.apk
2025-06-07 02:00
5.4K
perl-lingua-en-words2nums-doc-0.18-r0.apk
2025-06-07 14:55
3.5K
perl-lingua-en-words2nums-0.18-r0.apk
2025-06-07 14:55
4.6K
perl-lingua-en-tagger-doc-0.31-r0.apk
2025-06-08 16:03
4.5K
perl-lingua-en-tagger-0.31-r0.apk
2025-06-08 16:03
546K
perl-lingua-en-number-isordinal-doc-0.05-r0.apk
2025-06-08 16:03
3.4K
perl-lingua-en-number-isordinal-0.05-r0.apk
2025-06-08 16:03
3.1K
perl-lingua-en-inflect-phrase-doc-0.20-r0.apk
2025-06-09 12:06
3.9K
perl-lingua-en-inflect-phrase-0.20-r0.apk
2025-06-09 12:06
5.3K
perl-lingua-en-inflect-number-doc-1.12-r0.apk
2025-06-08 16:03
3.6K
perl-lingua-en-inflect-number-1.12-r0.apk
2025-06-08 16:03
3.2K
perl-lingua-en-findnumber-doc-1.32-r0.apk
2025-06-08 16:03
3.5K
perl-lingua-en-findnumber-1.32-r0.apk
2025-06-08 16:03
3.3K
perl-libintl-perl-doc-1.35-r0.apk
2025-01-16 13:35
571K
perl-libintl-perl-1.35-r0.apk
2025-01-16 13:35
305K
perl-libapreq2-doc-2.17-r3.apk
2025-06-30 08:08
37K
perl-libapreq2-dev-2.17-r3.apk
2025-06-30 08:08
55K
perl-libapreq2-2.17-r3.apk
2025-06-30 08:08
98K
perl-lexical-persistence-doc-1.023-r0.apk
2025-04-26 08:13
7.6K
perl-lexical-persistence-1.023-r0.apk
2025-04-26 08:13
7.5K
perl-json-validator-doc-5.15-r0.apk
2025-03-21 16:21
34K
perl-json-validator-5.15-r0.apk
2025-03-21 16:21
58K
perl-io-sessiondata-1.03-r3.apk
2024-10-25 19:02
5.8K
perl-io-interactive-doc-1.027-r0.apk
2025-09-01 13:16
5.2K
perl-io-interactive-1.027-r0.apk
2025-09-01 13:16
5.3K
perl-io-handle-util-doc-0.02-r0.apk
2025-06-11 16:34
9.8K
perl-io-handle-util-0.02-r0.apk
2025-06-11 16:34
11K
perl-imager-doc-1.028-r1.apk
2025-06-30 08:08
286K
perl-imager-1.028-r1.apk
2025-06-30 08:08
526K
perl-i18n-langinfo-wide-doc-9-r4.apk
2024-10-25 19:02
4.1K
perl-i18n-langinfo-wide-9-r4.apk
2024-10-25 19:02
4.2K
perl-http-headers-actionpack-doc-0.09-r0.apk
2025-06-13 18:17
40K
perl-http-headers-actionpack-0.09-r0.apk
2025-06-13 18:17
18K
perl-html-treebuilder-xpath-doc-0.14-r0.apk
2025-07-10 05:38
4.2K
perl-html-treebuilder-xpath-0.14-r0.apk
2025-07-10 05:38
7.8K
perl-html-tableextract-doc-2.15-r4.apk
2024-10-25 19:02
9.9K
perl-html-tableextract-2.15-r4.apk
2024-10-25 19:02
18K
perl-html-query-doc-0.09-r0.apk
2025-06-16 11:50
10K
perl-html-query-0.09-r0.apk
2025-06-16 11:50
14K
perl-html-gumbo-doc-0.18-r2.apk
2025-06-30 08:08
5.4K
perl-html-gumbo-0.18-r2.apk
2025-06-30 08:08
14K
perl-html-formhandler-doc-0.40068-r0.apk
2025-05-01 06:46
323K
perl-html-formhandler-0.40068-r0.apk
2025-05-01 06:46
135K
perl-html-formatexternal-doc-26-r0.apk
2025-06-07 14:55
23K
perl-html-formatexternal-26-r0.apk
2025-06-07 14:55
17K
perl-hash-merge-extra-doc-0.06-r0.apk
2025-06-20 15:18
3.4K
perl-hash-merge-extra-0.06-r0.apk
2025-06-20 15:18
3.1K
perl-getopt-tabular-doc-0.3-r4.apk
2024-10-25 19:02
17K
perl-getopt-tabular-0.3-r4.apk
2024-10-25 19:02
23K
perl-getopt-long-descriptive-doc-0.116-r0.apk
2024-12-31 10:59
11K
perl-getopt-long-descriptive-0.116-r0.apk
2024-12-31 10:59
15K
perl-full-doc-1.004-r0.apk
2024-10-25 19:02
10K
perl-full-1.004-r0.apk
2024-10-25 19:02
7.1K
perl-freezethaw-doc-0.5001-r3.apk
2025-10-18 10:32
5.7K
perl-freezethaw-0.5001-r3.apk
2025-10-18 10:32
9.8K
perl-flowd-doc-0.9.1-r11.apk
2025-06-30 08:08
3.3K
perl-flowd-0.9.1-r11.apk
2025-06-30 08:08
21K
perl-finance-quote-doc-1.68-r0.apk
2025-12-22 10:54
90K
perl-finance-quote-1.68-r0.apk
2025-12-22 10:54
114K
perl-file-treecreate-doc-0.0.1-r0.apk
2025-07-11 08:46
4.4K
perl-file-treecreate-0.0.1-r0.apk
2025-07-11 08:46
4.0K
perl-file-rename-doc-2.02-r0.apk
2024-10-25 19:02
12K
perl-file-rename-2.02-r0.apk
2024-10-25 19:02
7.5K
perl-file-mmagic-xs-doc-0.09008-r5.apk
2025-06-30 08:08
4.3K
perl-file-mmagic-xs-0.09008-r5.apk
2025-06-30 08:08
29K
perl-file-find-object-doc-0.3.9-r0.apk
2025-07-11 16:50
13K
perl-file-find-object-0.3.9-r0.apk
2025-07-11 16:50
9.2K
perl-file-changenotify-doc-0.31-r0.apk
2025-03-21 16:21
14K
perl-file-changenotify-0.31-r0.apk
2025-03-21 16:21
12K
perl-ffi-platypus-type-enum-doc-0.06-r0.apk
2024-10-25 19:02
5.2K
perl-ffi-platypus-type-enum-0.06-r0.apk
2024-10-25 19:02
5.2K
perl-ffi-platypus-doc-2.11-r0.apk
2026-01-13 07:41
148K
perl-ffi-platypus-2.11-r0.apk
2026-01-13 07:41
181K
perl-ffi-c-doc-0.15-r0.apk
2024-10-25 19:02
29K
perl-ffi-c-0.15-r0.apk
2024-10-25 19:02
20K
perl-extutils-xsbuilder-doc-0.28-r5.apk
2024-10-25 19:02
21K
perl-extutils-xsbuilder-0.28-r5.apk
2024-10-25 19:02
43K
perl-extutils-makemaker-7.76-r0.apk
2025-08-16 14:24
174K
perl-expect-simple-doc-0.04-r0.apk
2025-04-20 04:38
4.9K
perl-expect-simple-0.04-r0.apk
2025-04-20 04:38
5.5K
perl-expect-doc-1.38-r0.apk
2025-04-19 19:02
20K
perl-expect-1.38-r0.apk
2025-04-19 19:02
32K
perl-encode-detect-doc-1.01-r1.apk
2025-06-30 08:08
4.8K
perl-encode-detect-1.01-r1.apk
2025-06-30 08:08
71K
perl-email-sender-doc-2.601-r0.apk
2025-04-04 11:29
42K
perl-email-sender-2.601-r0.apk
2025-04-04 11:29
25K
perl-email-reply-doc-1.204-r5.apk
2024-10-25 19:02
4.8K
perl-email-reply-1.204-r5.apk
2024-10-25 19:02
6.1K
perl-email-mime-attachment-stripper-doc-1.317-r5.apk
2024-10-25 19:02
3.8K
perl-email-mime-attachment-stripper-1.317-r5.apk
2024-10-25 19:02
4.0K
perl-email-abstract-doc-3.010-r0.apk
2024-10-25 19:02
13K
perl-email-abstract-3.010-r0.apk
2024-10-25 19:02
7.7K
perl-digest-bcrypt-doc-1.212-r1.apk
2024-10-25 19:02
5.2K
perl-digest-bcrypt-1.212-r1.apk
2024-10-25 19:02
5.6K
perl-devel-trace-doc-0.12-r0.apk
2025-07-06 19:16
3.5K
perl-devel-trace-0.12-r0.apk
2025-07-06 19:16
3.4K
perl-devel-stacktrace-withlexicals-doc-2.01-r0.apk
2025-04-26 10:21
3.4K
perl-devel-stacktrace-withlexicals-2.01-r0.apk
2025-04-26 10:21
3.7K
perl-devel-repl-doc-1.003029-r0.apk
2025-04-24 14:50
60K
perl-devel-repl-1.003029-r0.apk
2025-04-24 14:50
28K
perl-devel-nytprof-doc-6.14-r1.apk
2025-06-30 08:08
51K
perl-devel-nytprof-6.14-r1.apk
2025-06-30 08:08
390K
perl-devel-leak-doc-0.03-r14.apk
2025-06-30 08:08
3.4K
perl-devel-leak-0.03-r14.apk
2025-06-30 08:08
6.6K
perl-dbix-lite-doc-0.36-r0.apk
2024-12-30 06:37
18K
perl-dbix-lite-0.36-r0.apk
2024-12-30 06:37
18K
perl-dbix-introspector-doc-0.001005-r4.apk
2024-10-25 19:02
8.5K
perl-dbix-introspector-0.001005-r4.apk
2024-10-25 19:02
8.1K
perl-dbix-datasource-doc-0.02-r5.apk
2024-10-25 19:02
7.5K
perl-dbix-datasource-0.02-r5.apk
2024-10-25 19:02
4.3K
perl-dbix-class-schema-loader-doc-0.07053-r0.apk
2025-06-10 00:53
77K
perl-dbix-class-schema-loader-0.07053-r0.apk
2025-06-10 00:53
97K
perl-dbix-class-helpers-doc-2.037000-r0.apk
2024-11-17 04:04
121K
perl-dbix-class-helpers-2.037000-r0.apk
2024-11-17 04:04
48K
perl-dbix-class-doc-0.082844-r0.apk
2025-01-16 17:27
421K
perl-dbix-class-cursor-cached-doc-1.001004-r0.apk
2025-06-10 00:53
3.0K
perl-dbix-class-cursor-cached-1.001004-r0.apk
2025-06-10 00:53
3.2K
perl-dbix-class-candy-doc-0.005004-r0.apk
2024-10-30 05:59
9.5K
perl-dbix-class-candy-0.005004-r0.apk
2024-10-30 05:59
7.9K
perl-dbix-class-0.082844-r0.apk
2025-01-16 17:27
355K
perl-dbicx-sugar-doc-0.0200-r5.apk
2024-10-25 19:02
5.3K
perl-dbicx-sugar-0.0200-r5.apk
2024-10-25 19:02
5.9K
perl-date-range-doc-1.41-r0.apk
2025-07-08 16:53
4.0K
perl-date-range-1.41-r0.apk
2025-07-08 16:53
3.8K
perl-database-async-engine-postgresql-doc-1.005-r0.apk
2024-10-25 19:02
9.3K
perl-database-async-engine-postgresql-1.005-r0.apk
2024-10-25 19:02
14K
perl-data-visitor-doc-0.32-r0.apk
2025-03-21 16:21
8.3K
perl-data-visitor-0.32-r0.apk
2025-03-21 16:21
9.8K
perl-data-validate-ip-doc-0.31-r1.apk
2024-10-25 19:02
5.9K
perl-data-validate-ip-0.31-r1.apk
2024-10-25 19:02
8.8K
perl-data-section-doc-0.200008-r0.apk
2025-07-07 17:02
5.6K
perl-data-section-0.200008-r0.apk
2025-07-07 17:02
6.4K
perl-data-dump-streamer-doc-2.42-r1.apk
2025-06-30 08:08
17K
perl-data-dump-streamer-2.42-r1.apk
2025-06-30 08:08
50K
perl-data-clone-doc-0.006-r1.apk
2025-06-30 08:08
4.5K
perl-data-clone-0.006-r1.apk
2025-06-30 08:08
9.6K
perl-data-censor-doc-0.04-r0.apk
2026-01-23 00:42
5.0K
perl-data-censor-0.04-r0.apk
2026-01-23 00:42
4.9K
perl-data-binary-doc-0.01-r0.apk
2025-07-10 05:38
3.1K
perl-data-binary-0.01-r0.apk
2025-07-10 05:38
2.8K
perl-dancer2-doc-2.0.1-r0.apk
2026-01-24 10:27
319K
perl-dancer2-2.0.1-r0.apk
2026-01-24 10:27
148K
perl-dancer-session-cookie-doc-0.30-r2.apk
2024-10-25 19:02
4.2K
perl-dancer-session-cookie-0.30-r2.apk
2024-10-25 19:02
5.5K
perl-dancer-plugin-passphrase-doc-2.0.1-r4.apk
2024-10-25 19:02
8.5K
perl-dancer-plugin-passphrase-2.0.1-r4.apk
2024-10-25 19:02
9.7K
perl-dancer-plugin-dbic-doc-0.2104-r5.apk
2024-10-25 19:02
5.4K
perl-dancer-plugin-dbic-0.2104-r5.apk
2024-10-25 19:02
4.9K
perl-dancer-plugin-auth-extensible-doc-1.00-r5.apk
2024-10-25 19:02
15K
perl-dancer-plugin-auth-extensible-1.00-r5.apk
2024-10-25 19:02
15K
perl-daemon-control-doc-0.001010-r2.apk
2024-10-25 19:02
8.3K
perl-daemon-control-0.001010-r2.apk
2024-10-25 19:02
12K
perl-css-inliner-doc-4027-r0.apk
2025-06-16 11:50
9.4K
perl-css-inliner-4027-r0.apk
2025-06-16 11:50
16K
perl-crypt-saltedhash-doc-0.09-r5.apk
2024-10-25 19:02
6.4K
perl-crypt-saltedhash-0.09-r5.apk
2024-10-25 19:02
7.0K
perl-crypt-blowfish-doc-2.14-r1.apk
2025-06-30 08:08
4.1K
perl-crypt-blowfish-2.14-r1.apk
2025-06-30 08:08
12K
perl-cpansa-db-doc-20250807.001-r0.apk
2025-09-01 13:16
5.6K
perl-cpansa-db-20250807.001-r0.apk
2025-09-01 13:16
1.3M
perl-cpan-changes-doc-0.500005-r0.apk
2025-08-16 14:24
18K
perl-cpan-changes-0.500005-r0.apk
2025-08-16 14:24
13K
perl-cpan-audit-doc-20250829.001-r0.apk
2025-09-06 16:20
12K
perl-cpan-audit-20250829.001-r0.apk
2025-09-06 16:20
14K
perl-context-preserve-doc-0.03-r4.apk
2024-10-25 19:02
4.2K
perl-context-preserve-0.03-r4.apk
2024-10-25 19:02
3.9K
perl-constant-generate-doc-0.17-r5.apk
2024-10-25 19:02
7.0K
perl-constant-generate-0.17-r5.apk
2024-10-25 19:02
8.8K
perl-constant-defer-doc-6-r5.apk
2024-10-25 19:02
7.0K
perl-constant-defer-6-r5.apk
2024-10-25 19:02
7.4K
perl-conf-libconfig-doc-1.0.3-r2.apk
2025-06-30 08:08
5.5K
perl-conf-libconfig-1.0.3-r2.apk
2025-06-30 08:08
24K
perl-clipboard-doc-0.32-r1.apk
2025-10-13 16:13
27K
perl-clipboard-0.32-r1.apk
2025-10-13 16:13
10K
perl-cli-osprey-doc-0.08-r0.apk
2024-12-28 04:54
12K
perl-cli-osprey-0.08-r0.apk
2024-12-28 04:54
13K
perl-class-unload-doc-0.11-r0.apk
2025-06-04 23:00
3.1K
perl-class-unload-0.11-r0.apk
2025-06-04 23:00
2.6K
perl-class-c3-doc-0.35-r1.apk
2024-10-25 19:02
9.3K
perl-class-c3-componentised-doc-1.001002-r2.apk
2024-10-25 19:02
5.3K
perl-class-c3-componentised-1.001002-r2.apk
2024-10-25 19:02
5.5K
perl-class-c3-adopt-next-doc-0.14-r0.apk
2025-03-14 17:12
4.7K
perl-class-c3-adopt-next-0.14-r0.apk
2025-03-14 17:12
5.1K
perl-class-c3-0.35-r1.apk
2024-10-25 19:02
9.5K
perl-class-accessor-grouped-doc-0.10014-r2.apk
2024-10-25 19:02
7.5K
perl-class-accessor-grouped-0.10014-r2.apk
2024-10-25 19:02
12K
perl-cgi-struct-doc-1.21-r0.apk
2025-03-14 17:12
6.8K
perl-cgi-struct-1.21-r0.apk
2025-03-14 17:12
7.8K
perl-cgi-simple-doc-1.282-r0.apk
2025-08-30 04:17
43K
perl-cgi-simple-1.282-r0.apk
2025-08-30 04:17
56K
perl-cgi-expand-doc-2.05-r4.apk
2024-10-25 19:02
6.2K
perl-cgi-expand-2.05-r4.apk
2024-10-25 19:02
6.9K
perl-catalystx-simplelogin-doc-0.21-r0.apk
2025-05-10 03:12
24K
perl-catalystx-simplelogin-0.21-r0.apk
2025-05-10 03:12
11K
perl-catalystx-repl-doc-0.04-r0.apk
2025-04-27 15:07
3.6K
perl-catalystx-repl-0.04-r0.apk
2025-04-27 15:07
3.3K
perl-catalystx-profile-doc-0.02-r0.apk
2025-06-15 04:47
4.5K
perl-catalystx-profile-0.02-r0.apk
2025-06-15 04:47
3.1K
perl-catalystx-leakchecker-doc-0.06-r0.apk
2025-06-15 04:47
3.5K
perl-catalystx-leakchecker-0.06-r0.apk
2025-06-15 04:47
3.4K
perl-catalystx-injectcomponent-doc-0.025-r0.apk
2025-05-01 06:46
3.6K
perl-catalystx-injectcomponent-0.025-r0.apk
2025-05-01 06:46
3.4K
perl-catalystx-component-traits-doc-0.19-r0.apk
2025-05-10 03:12
4.0K
perl-catalystx-component-traits-0.19-r0.apk
2025-05-10 03:12
4.3K
perl-catalyst-view-tt-doc-0.46-r0.apk
2025-04-13 21:31
13K
perl-catalyst-view-tt-0.46-r0.apk
2025-04-13 21:31
14K
perl-catalyst-view-email-doc-0.36-r0.apk
2025-04-04 11:29
11K
perl-catalyst-view-email-0.36-r0.apk
2025-04-04 11:29
9.1K
perl-catalyst-runtime-doc-5.90132-r0.apk
2025-03-21 16:21
216K
perl-catalyst-runtime-5.90132-r0.apk
2025-03-21 16:21
150K
perl-catalyst-plugin-static-simple-doc-0.37-r0.apk
2025-03-21 16:21
7.4K
perl-catalyst-plugin-static-simple-0.37-r0.apk
2025-03-21 16:21
8.7K
perl-catalyst-plugin-stacktrace-doc-0.12-r0.apk
2025-06-14 02:46
3.9K
perl-catalyst-plugin-stacktrace-0.12-r0.apk
2025-06-14 02:46
4.7K
perl-catalyst-plugin-session-store-file-doc-0.18-r0.apk
2025-06-14 02:46
4.0K
perl-catalyst-plugin-session-store-file-0.18-r0.apk
2025-06-14 02:46
3.6K
perl-catalyst-plugin-session-store-delegate-doc-0.06-r0.apk
2025-06-13 18:17
4.5K
perl-catalyst-plugin-session-store-delegate-0.06-r0.apk
2025-06-13 18:17
4.5K
perl-catalyst-plugin-session-store-dbic-doc-0.14-r0.apk
2025-06-13 18:17
6.4K
perl-catalyst-plugin-session-store-dbic-0.14-r0.apk
2025-06-13 18:17
5.9K
perl-catalyst-plugin-session-state-cookie-doc-0.18-r0.apk
2025-04-20 04:32
4.8K
perl-catalyst-plugin-session-state-cookie-0.18-r0.apk
2025-04-20 04:32
4.9K
perl-catalyst-plugin-session-doc-0.43-r0.apk
2025-04-04 11:56
25K
perl-catalyst-plugin-session-0.43-r0.apk
2025-04-04 11:56
14K
perl-catalyst-plugin-i18n-doc-0.10-r0.apk
2025-04-04 11:29
13K
perl-catalyst-plugin-i18n-0.10-r0.apk
2025-04-04 11:29
4.1K
perl-catalyst-plugin-configloader-doc-0.35-r0.apk
2025-03-21 16:21
10K
perl-catalyst-plugin-configloader-0.35-r0.apk
2025-03-21 16:21
5.4K
perl-catalyst-plugin-authentication-doc-0.10024-r0.apk
2025-04-03 00:11
56K
perl-catalyst-plugin-authentication-0.10024-r0.apk
2025-04-03 00:11
32K
perl-catalyst-model-dbic-schema-doc-0.66-r0.apk
2025-06-11 16:35
18K
perl-catalyst-model-dbic-schema-0.66-r0.apk
2025-06-11 16:35
19K
perl-catalyst-model-adaptor-doc-0.10-r0.apk
2025-04-13 21:31
12K
perl-catalyst-model-adaptor-0.10-r0.apk
2025-04-13 21:31
6.5K
perl-catalyst-manual-doc-5.9013-r0.apk
2025-04-04 06:07
375K
perl-catalyst-manual-5.9013-r0.apk
2025-04-04 06:07
2.7K
perl-catalyst-devel-doc-1.42-r0.apk
2025-03-21 16:21
11K
perl-catalyst-devel-1.42-r0.apk
2025-03-21 16:21
54K
perl-catalyst-controller-actionrole-doc-0.17-r0.apk
2025-04-04 06:07
4.4K
perl-catalyst-controller-actionrole-0.17-r0.apk
2025-04-04 06:07
5.0K
perl-catalyst-component-instancepercontext-doc-0.001001-r1.apk
2025-06-19 10:55
3.3K
perl-catalyst-component-instancepercontext-0.001001-r1.apk
2025-06-19 10:55
2.7K
perl-catalyst-authentication-store-dbix-class-doc-0.1506-r0.apk
2025-06-11 16:35
14K
perl-catalyst-authentication-store-dbix-class-0.1506-r0.apk
2025-06-11 16:35
13K
perl-catalyst-authentication-credential-http-doc-1.018-r0.apk
2025-04-04 06:07
6.8K
perl-catalyst-authentication-credential-http-1.018-r0.apk
2025-04-04 06:07
8.6K
perl-catalyst-actionrole-acl-doc-0.07-r0.apk
2025-05-10 03:12
5.7K
perl-catalyst-actionrole-acl-0.07-r0.apk
2025-05-10 03:12
4.3K
perl-catalyst-action-rest-doc-1.21-r0.apk
2025-04-03 00:11
27K
perl-catalyst-action-rest-1.21-r0.apk
2025-04-03 00:11
25K
perl-catalyst-action-renderview-doc-0.17-r0.apk
2025-03-21 16:21
4.0K
perl-catalyst-action-renderview-0.17-r0.apk
2025-03-21 16:21
3.8K
perl-carp-repl-doc-0.18-r0.apk
2025-04-26 17:12
5.9K
perl-carp-repl-0.18-r0.apk
2025-04-26 17:12
6.0K
perl-carp-assert-more-doc-2.9.0-r0.apk
2025-04-13 21:31
7.8K
perl-carp-assert-more-2.9.0-r0.apk
2025-04-13 21:31
9.0K
perl-bind-config-parser-doc-0.01-r5.apk
2024-10-25 19:02
3.6K
perl-bind-config-parser-0.01-r5.apk
2024-10-25 19:02
3.9K
perl-barcode-zbar-doc-0.10-r4.apk
2025-06-30 08:08
13K
perl-barcode-zbar-0.10-r4.apk
2025-06-30 08:08
29K
perl-badger-doc-0.16-r1.apk
2025-11-11 23:13
263K
perl-badger-0.16-r1.apk
2025-11-11 23:13
253K
perl-b-utils-doc-0.27-r1.apk
2025-06-30 08:08
9.5K
perl-b-utils-0.27-r1.apk
2025-06-30 08:08
19K
perl-autobox-doc-3.0.2-r1.apk
2025-06-30 08:08
8.9K
perl-autobox-3.0.2-r1.apk
2025-06-30 08:08
19K
perl-asa-doc-1.04-r0.apk
2025-06-11 16:34
5.0K
perl-asa-1.04-r0.apk
2025-06-11 16:34
4.3K
perl-array-diff-doc-0.09-r0.apk
2025-07-10 05:38
3.9K
perl-array-diff-0.09-r0.apk
2025-07-10 05:38
3.3K
perl-archive-extract-doc-0.88-r1.apk
2024-10-25 19:02
6.8K
perl-archive-extract-0.88-r1.apk
2024-10-25 19:02
16K
perl-archive-any-lite-doc-0.11-r0.apk
2025-07-11 08:46
3.7K
perl-archive-any-lite-0.11-r0.apk
2025-07-11 08:46
4.1K
perl-anyevent-dns-etchosts-doc-0.0105-r0.apk
2024-10-25 19:02
4.0K
perl-anyevent-dns-etchosts-0.0105-r0.apk
2024-10-25 19:02
5.1K
perl-alien-libgumbo-doc-0.05-r1.apk
2025-06-30 08:08
4.8K
perl-alien-libgumbo-0.05-r1.apk
2025-06-30 08:08
576K
perl-alien-base-modulebuild-doc-1.17-r0.apk
2025-06-08 16:03
53K
perl-alien-base-modulebuild-1.17-r0.apk
2025-06-08 16:03
22K
perl-aliased-doc-0.34-r4.apk
2024-10-25 19:02
5.7K
perl-aliased-0.34-r4.apk
2024-10-25 19:02
5.7K
perl-algorithm-evolutionary-doc-0.82.1-r0.apk
2024-10-25 19:02
148K
perl-algorithm-evolutionary-0.82.1-r0.apk
2024-10-25 19:02
79K
perl-algorithm-cron-doc-0.10-r4.apk
2024-10-25 19:02
4.6K
perl-algorithm-cron-0.10-r4.apk
2024-10-25 19:02
6.1K
perl-algorithm-c3-doc-0.11-r1.apk
2024-10-25 19:02
5.1K
perl-algorithm-c3-0.11-r1.apk
2024-10-25 19:02
5.7K
percona-toolkit-doc-3.5.4-r1.apk
2024-10-25 19:02
298K
percona-toolkit-3.5.4-r1.apk
2024-10-25 19:02
1.8M
pegasus-frontend-doc-16_alpha-r0.apk
2024-10-25 19:02
16K
pegasus-frontend-16_alpha-r0.apk
2024-10-25 19:02
1.2M
peg-doc-0.1.18-r1.apk
2024-10-25 19:02
14K
peg-0.1.18-r1.apk
2024-10-25 19:02
38K
peervpn-openrc-0.044-r5.apk
2024-10-25 19:02
1.8K
peervpn-0.044-r5.apk
2024-10-25 19:02
40K
pebble-le-doc-0.3.0-r2.apk
2024-12-14 21:23
3.7K
pebble-le-dev-0.3.0-r2.apk
2024-12-14 21:23
40K
pebble-le-0.3.0-r2.apk
2024-12-14 21:23
63K
pdfcrack-0.21-r0.apk
2025-11-19 08:08
31K
pdf2svg-0.2.4-r0.apk
2025-09-28 18:49
4.5K
pdal-python-plugins-1.6.5-r0.apk
2025-06-21 21:43
249K
pd-mapper-systemd-1.1-r0.apk
2026-01-13 00:01
1.7K
pd-mapper-openrc-1.1-r0.apk
2026-01-13 00:01
1.7K
pd-mapper-doc-1.1-r0.apk
2026-01-13 00:01
2.5K
pd-mapper-1.1-r0.apk
2026-01-13 00:01
10K
pcsx2-1.7.4819-r5.apk
2025-02-22 14:39
11M
pcl-libs-1.15.1-r0.apk
2026-01-18 02:32
1.3M
pcl-dev-1.15.1-r0.apk
2026-01-18 02:32
380K
pcl-1.15.1-r0.apk
2026-01-18 02:32
500K
pcem-17-r2.apk
2024-10-25 19:02
2.0M
pathvector-6.3.2-r19.apk
2026-01-17 22:42
4.1M
pasystray-doc-0.8.2-r0.apk
2024-10-25 19:02
3.3K
pasystray-0.8.2-r0.apk
2024-10-25 19:02
46K
pash-2.3.0-r2.apk
2024-10-25 19:02
4.3K
parse-changelog-0.6.12-r0.apk
2025-05-18 22:20
550K
parpar-0.4.5-r1.apk
2025-12-29 15:46
5.8M
paraexec-1.0-r3.apk
2024-10-25 19:02
13M
par2cmdline-turbo-doc-1.3.0-r0.apk
2025-05-10 03:12
5.9K
par2cmdline-turbo-1.3.0-r0.apk
2025-05-10 03:12
311K
par-doc-1.53.0-r1.apk
2024-10-25 19:02
30K
par-1.53.0-r1.apk
2024-10-25 19:02
14K
paprefs-lang-1.2-r2.apk
2024-11-22 22:14
38K
paprefs-1.2-r2.apk
2024-11-22 22:14
30K
paperkey-doc-1.6-r2.apk
2024-10-25 19:02
4.5K
paperkey-1.6-r2.apk
2024-10-25 19:02
16K
paperde-dev-0.3.0-r2.apk
2025-08-21 07:47
5.0K
paperde-0.3.0-r2.apk
2025-08-21 07:47
610K
pandora_box-0.18.0-r0.apk
2026-01-04 13:46
433K
pamtester-doc-0.1.2-r4.apk
2024-10-25 19:02
2.9K
pamtester-0.1.2-r4.apk
2024-10-25 19:02
9.2K
pam_sqlite3-1.0.2-r2.apk
2024-10-25 19:02
8.5K
pam-pkcs11-systemd-0.6.13-r1.apk
2025-11-17 13:11
1.8K
pam-pkcs11-doc-0.6.13-r1.apk
2025-11-17 13:11
14K
pam-pkcs11-0.6.13-r1.apk
2025-11-17 13:11
256K
pam-krb5-doc-4.11-r1.apk
2024-10-25 19:02
24K
pam-krb5-4.11-r1.apk
2024-10-25 19:02
22K
paged-markdown-3-pdf-zsh-completion-0.1.3-r0.apk
2025-05-28 14:24
1.9K
paged-markdown-3-pdf-fish-completion-0.1.3-r0.apk
2025-05-28 14:24
1.8K
paged-markdown-3-pdf-bash-completion-0.1.3-r0.apk
2025-05-28 14:24
1.9K
paged-markdown-3-pdf-0.1.3-r0.apk
2025-05-28 14:24
465K
pacparser-doc-1.4.5-r1.apk
2024-10-25 19:02
18K
pacparser-dev-1.4.5-r1.apk
2024-10-25 19:02
3.6K
pacparser-1.4.5-r1.apk
2024-10-25 19:02
748K
packwiz-doc-0_git20251102-r2.apk
2026-01-17 22:42
2.3K
packwiz-0_git20251102-r2.apk
2026-01-17 22:42
5.0M
p910nd-openrc-0.97-r2.apk
2024-10-25 19:02
1.8K
p910nd-doc-0.97-r2.apk
2024-10-25 19:02
3.0K
p910nd-0.97-r2.apk
2024-10-25 19:02
7.7K
p0f-doc-3.09b-r3.apk
2024-10-25 19:02
25K
p0f-3.09b-r3.apk
2024-10-25 19:02
75K
oxygen-icons-6.1.0-r0.apk
2024-10-25 19:02
32M
ovpncc-doc-0.1_rc1-r0.apk
2024-10-25 19:02
6.5K
ovpncc-0.1_rc1-r0.apk
2024-10-25 19:02
12K
ovos-skill-hello-world-pyc-0.0.4_alpha3-r1.apk
2024-10-25 19:02
4.0K
ovos-skill-hello-world-0.0.4_alpha3-r1.apk
2024-10-25 19:02
46K
ovos-phal-pyc-0.2.10-r0.apk
2025-07-15 19:29
7.2K
ovos-phal-0.2.10-r0.apk
2025-07-15 19:29
10K
ovos-messagebus-pyc-0.0.10-r0.apk
2025-04-08 09:13
6.7K
ovos-messagebus-0.0.10-r0.apk
2025-04-08 09:13
9.9K
ovos-gui-pyc-1.3.3-r0.apk
2025-07-15 20:03
38K
ovos-gui-1.3.3-r0.apk
2025-07-15 20:03
38K
ovos-dinkum-listener-pyc-0.4.1-r0.apk
2025-07-15 20:03
57K
ovos-dinkum-listener-0.4.1-r0.apk
2025-07-15 20:03
109K
ovos-core-pyc-2.1.0-r0.apk
2025-11-02 15:56
58K
ovos-core-2.1.0-r0.apk
2025-11-02 15:56
49K
ovos-audio-pyc-1.0.1-r0.apk
2025-07-15 20:03
36K
ovos-audio-1.0.1-r0.apk
2025-07-15 20:03
136K
ovos-0.0.1-r1.apk
2024-10-25 19:02
1.5K
ovn-openrc-25.09.2-r0.apk
2025-12-14 22:31
2.4K
ovn-doc-25.09.2-r0.apk
2025-12-14 22:31
264K
ovn-dev-25.09.2-r0.apk
2025-12-14 22:31
1.8M
ovn-dbg-25.09.2-r0.apk
2025-12-14 22:31
6.7M
ovn-25.09.2-r0.apk
2025-12-14 22:31
2.0M
ovhcloud-cli-0.9.0-r1.apk
2026-01-17 22:42
7.6M
ouch-zsh-completion-0.6.1-r0.apk
2025-05-28 07:37
3.1K
ouch-fish-completion-0.6.1-r0.apk
2025-05-28 07:37
3.0K
ouch-doc-0.6.1-r0.apk
2025-05-28 07:37
3.8K
ouch-bash-completion-0.6.1-r0.apk
2025-05-28 07:37
2.5K
ouch-0.6.1-r0.apk
2025-05-28 07:37
1.7M
otrs-setup-6.0.48-r2.apk
2024-10-25 19:02
107K
otrs-openrc-6.0.48-r2.apk
2024-10-25 19:02
1.9K
otrs-nginx-6.0.48-r2.apk
2024-10-25 19:02
1.8K
otrs-fastcgi-6.0.48-r2.apk
2024-10-25 19:02
1.8K
otrs-doc-6.0.48-r2.apk
2024-10-25 19:02
795K
otrs-dev-6.0.48-r2.apk
2024-10-25 19:02
3.9M
otrs-bash-completion-6.0.48-r2.apk
2024-10-25 19:02
2.4K
otrs-apache2-6.0.48-r2.apk
2024-10-25 19:02
4.0K
otrs-6.0.48-r2.apk
2024-10-25 19:02
29M
otpclient-doc-4.1.1-r0.apk
2025-07-22 22:44
3.6K
otpclient-4.1.1-r0.apk
2025-07-22 22:44
113K
otf-server-openrc-0.4.9-r1.apk
2026-01-17 22:42
2.0K
otf-server-0.4.9-r1.apk
2026-01-17 22:42
13M
otf-cli-0.4.9-r1.apk
2026-01-17 22:42
8.4M
otf-atkinson-hyperlegible-doc-2020.0514-r1.apk
2024-10-25 19:02
48K
otf-atkinson-hyperlegible-2020.0514-r1.apk
2024-10-25 19:02
102K
otf-agent-openrc-0.4.9-r1.apk
2026-01-17 22:42
2.0K
otf-agent-0.4.9-r1.apk
2026-01-17 22:42
8.6M
otf-0.4.9-r1.apk
2026-01-17 22:42
1.3K
ostui-doc-1.0.4-r0.apk
2025-09-11 02:50
28K
ostui-1.0.4-r0.apk
2025-09-11 02:50
5.0M
osmctools-0.9-r0.apk
2024-10-25 19:02
119K
organicmaps-2025.09.05.1-r1.apk
2026-01-14 05:56
127M
orage-lang-4.21.0-r0.apk
2026-01-07 00:06
1.2M
orage-4.21.0-r0.apk
2026-01-07 00:06
601K
oppa-1.1.0-r0.apk
2025-10-12 13:21
519K
opmsg-1.84-r1.apk
2024-10-25 19:02
272K
opkg-utils-doc-0.7.0-r0.apk
2024-10-25 19:02
3.6K
opkg-utils-0.7.0-r0.apk
2024-10-25 19:02
25K
opkg-libs-0.7.0-r0.apk
2024-10-25 19:02
77K
opkg-doc-0.7.0-r0.apk
2024-10-25 19:02
7.7K
opkg-dev-0.7.0-r0.apk
2024-10-25 19:02
109K
opkg-0.7.0-r0.apk
2024-10-25 19:02
9.8K
openwsman-libs-2.8.1-r1.apk
2025-06-30 08:08
315K
openwsman-doc-2.8.1-r1.apk
2025-06-30 08:08
2.4K
openwsman-dev-2.8.1-r1.apk
2025-06-30 08:08
56K
openwsman-2.8.1-r1.apk
2025-06-30 08:08
46K
openvpn3-dev-3.8.5-r1.apk
2025-02-22 14:39
667K
openvpn3-3.8.5-r1.apk
2025-02-22 14:39
382K
openterface-qt-0.5.11-r0.apk
2026-01-22 23:43
1.2M
opentelemetry-cpp-exporter-zipkin-1.24.0-r0.apk
2025-11-22 13:32
50K
opentelemetry-cpp-exporter-otlp-http-1.24.0-r0.apk
2025-11-22 13:32
86K
opentelemetry-cpp-exporter-otlp-grpc-1.24.0-r0.apk
2025-11-22 13:32
58K
opentelemetry-cpp-exporter-otlp-common-1.24.0-r0.apk
2025-11-22 13:32
45K
opentelemetry-cpp-dev-1.24.0-r0.apk
2025-11-22 13:32
521K
opentelemetry-cpp-1.24.0-r0.apk
2025-11-22 13:32
602K
openswitcher-proxy-openrc-0.5.0-r4.apk
2024-10-25 19:02
2.0K
openswitcher-proxy-0.5.0-r4.apk
2024-10-25 19:02
9.4K
openswitcher-0.5.0-r4.apk
2024-10-25 19:02
148K
openspades-doc-0.1.3-r6.apk
2026-01-09 20:19
19K
openspades-0.1.3-r6.apk
2026-01-09 20:19
11M
openscap-daemon-pyc-0.1.10-r9.apk
2024-10-25 19:02
102K
openscap-daemon-doc-0.1.10-r9.apk
2024-10-25 19:02
18K
openscap-daemon-0.1.10-r9.apk
2024-10-25 19:02
60K
openrdap-doc-0.9.1-r0.apk
2025-06-24 15:50
2.2K
openrdap-0.9.1-r0.apk
2025-06-24 15:50
3.4M
openocd-riscv-udev-rules-0_git20230104-r2.apk
2024-10-25 19:02
3.3K
openocd-riscv-doc-0_git20230104-r2.apk
2024-10-25 19:02
3.3K
openocd-riscv-dev-0_git20230104-r2.apk
2024-10-25 19:02
3.7K
openocd-riscv-0_git20230104-r2.apk
2024-10-25 19:02
1.5M
openocd-git-udev-0_git20251018-r1.apk
2025-10-20 21:45
3.5K
openocd-git-doc-0_git20251018-r1.apk
2025-10-20 21:45
3.2K
openocd-git-dev-0_git20251018-r1.apk
2025-10-20 21:45
3.4K
openocd-git-dbg-0_git20251018-r1.apk
2025-10-20 21:45
4.3M
openocd-git-cmd-openocd-0_git20251018-r1.apk
2025-10-20 21:45
1.6K
openocd-git-0_git20251018-r1.apk
2025-10-20 21:45
1.7M
openocd-esp32-udev-0_git20250707-r2.apk
2025-10-20 21:45
3.5K
openocd-esp32-doc-0_git20250707-r2.apk
2025-10-20 21:45
3.2K
openocd-esp32-dev-0_git20250707-r2.apk
2025-10-20 21:45
3.7K
openocd-esp32-0_git20250707-r2.apk
2025-10-20 21:45
1.9M
openjfx-doc-21.0.3_p1-r0.apk
2024-10-25 19:02
97K
openjfx-demos-21.0.3_p1-r0.apk
2024-10-25 19:02
28M
openjfx-21.0.3_p1-r0.apk
2024-10-25 19:02
8.9M
openjdk21-mandrel-23.1.6.0-r0.apk
2025-02-22 14:39
23M
openfpgaloader-0.11.0-r0.apk
2024-10-25 19:02
1.9M
openfortivpn-doc-1.22.1-r0.apk
2024-12-12 04:50
6.3K
openfortivpn-1.22.1-r0.apk
2024-12-12 04:50
41K
openfire-plugins-4.8.1-r1.apk
2024-12-03 15:45
72K
openfire-openrc-4.8.1-r1.apk
2024-12-03 15:45
1.9K
openfire-doc-4.8.1-r1.apk
2024-12-03 15:45
3.8M
openfire-4.8.1-r1.apk
2024-12-03 15:45
46M
opendht-libs-3.1.11-r0.apk
2025-01-29 16:00
573K
opendht-doc-3.1.11-r0.apk
2025-01-29 16:00
3.0K
opendht-dev-3.1.11-r0.apk
2025-01-29 16:00
71K
opendht-3.1.11-r0.apk
2025-01-29 16:00
182K
openconnect-sso-pyc-0.8.0_git20230822-r0.apk
2025-05-14 09:13
29K
openconnect-sso-0.8.0_git20230822-r0.apk
2025-05-14 09:13
29K
openapi-validator-1.19.2-r0.apk
2024-10-25 19:02
9.9M
openapi-tui-0.9.4-r1.apk
2024-10-25 19:02
4.1M
opcr-policy-0.3.1-r2.apk
2026-01-17 22:42
10M
opa-zsh-completion-1.12.3-r0.apk
2026-01-24 09:39
4.0K
opa-fish-completion-1.12.3-r0.apk
2026-01-24 09:39
4.3K
opa-doc-1.12.3-r0.apk
2026-01-24 09:39
23K
opa-bash-completion-1.12.3-r0.apk
2026-01-24 09:39
6.1K
opa-1.12.3-r0.apk
2026-01-24 09:39
12M
oniux-doc-0.7.0-r0.apk
2026-01-15 01:06
3.3K
oniux-0.7.0-r0.apk
2026-01-15 01:06
7.0M
onionshare-pyc-2.6.3-r1.apk
2025-11-14 21:57
240K
onionshare-desktop-2.6.3-r1.apk
2025-11-14 21:57
1.3M
onionshare-2.6.3-r1.apk
2025-11-14 21:57
180K
onioncat-doc-4.11.0-r1.apk
2024-10-25 19:02
19K
onioncat-4.11.0-r1.apk
2024-10-25 19:02
57K
onevpl-intel-gpu-dev-25.4.6-r0.apk
2026-01-07 00:06
1.8K
onevpl-intel-gpu-25.4.6-r0.apk
2026-01-07 00:06
2.3M
one-dnn-doc-3.1-r0.apk
2024-10-25 19:02
16K
one-dnn-dev-3.1-r0.apk
2024-10-25 19:02
114K
one-dnn-3.1-r0.apk
2024-10-25 19:02
16M
olsrd-plugins-0.9.8-r3.apk
2024-10-25 19:02
173K
olsrd-openrc-0.9.8-r3.apk
2024-10-25 19:02
1.9K
olsrd-doc-0.9.8-r3.apk
2024-10-25 19:02
25K
olsrd-0.9.8-r3.apk
2024-10-25 19:02
166K
ol-doc-2.6-r0.apk
2025-05-01 06:30
2.6K
ol-dev-2.6-r0.apk
2025-05-01 06:30
16K
ol-2.6-r0.apk
2025-05-01 06:30
1.0M
oils-for-unix-doc-0.35.0-r0.apk
2025-09-28 22:29
7.0K
oils-for-unix-binsh-0.35.0-r0.apk
2025-09-28 22:29
1.5K
oils-for-unix-bash-0.35.0-r0.apk
2025-09-28 22:29
1.7K
oils-for-unix-0.35.0-r0.apk
2025-09-28 22:29
648K
oha-doc-1.12.1-r0.apk
2025-12-20 00:03
2.2K
oha-1.12.1-r0.apk
2025-12-20 00:03
3.2M
odyssey-openrc-1.3-r3.apk
2024-10-25 19:02
2.0K
odyssey-1.3-r3.apk
2024-10-25 19:02
125K
odin-vendor-0.2026.01-r0.apk
2026-01-07 10:48
3.9M
odin-doc-0.2026.01-r0.apk
2026-01-07 10:48
4.5K
odin-0.2026.01-r0.apk
2026-01-07 10:48
3.2M
octoprint-pyc-1.11.5-r0.apk
2026-01-18 07:01
1.3M
octoprint-pisupport-pyc-2025.7.23-r0.apk
2026-01-04 14:25
15K
octoprint-pisupport-2025.7.23-r0.apk
2026-01-04 14:25
31K
octoprint-openrc-1.11.5-r0.apk
2026-01-18 07:01
1.8K
octoprint-firmwarecheck-pyc-2025.7.23-r0.apk
2026-01-04 14:25
18K
octoprint-firmwarecheck-2025.7.23-r0.apk
2026-01-04 14:25
29K
octoprint-filecheck-pyc-2025.7.23-r0.apk
2026-01-04 14:25
12K
octoprint-filecheck-2025.7.23-r0.apk
2026-01-04 14:25
28K
octoprint-creality2xfix-pyc-0.0.4-r2.apk
2024-10-25 19:02
3.3K
octoprint-creality2xfix-0.0.4-r2.apk
2024-10-25 19:02
4.7K
octoprint-1.11.5-r0.apk
2026-01-18 07:01
3.1M
ocfs2-tools-doc-1.8.7-r4.apk
2024-10-25 19:02
69K
ocfs2-tools-dev-1.8.7-r4.apk
2024-10-25 19:02
45K
ocfs2-tools-1.8.7-r4.apk
2024-10-25 19:02
1.1M
obnc-doc-0.17.2-r0.apk
2025-05-25 23:37
33K
obnc-0.17.2-r0.apk
2025-05-25 23:37
139K
oblibs-dev-0.3.4.0-r0.apk
2025-06-02 03:03
317K
oblibs-dbg-0.3.4.0-r0.apk
2025-06-02 03:03
99K
oblibs-0.3.4.0-r0.apk
2025-06-02 03:03
33K
objconv-2.52_git20210213-r2.apk
2024-10-25 19:02
251K
oauth2-proxy-openrc-7.11.0-r5.apk
2026-01-17 22:42
2.1K
oauth2-proxy-7.11.0-r5.apk
2026-01-17 22:42
9.2M
nzbget-openrc-25.4-r0.apk
2025-11-17 17:13
2.1K
nzbget-25.4-r0.apk
2025-11-17 17:13
5.0M
nyuu-0.4.2-r1.apk
2025-12-29 15:46
1.2M
nymphcast-mediaserver-nftables-0.1-r4.apk
2025-08-28 21:07
1.7K
nymphcast-mediaserver-0.1-r4.apk
2025-08-28 21:07
70K
nwipe-doc-0.39-r0.apk
2025-12-05 02:57
3.8K
nwipe-0.39-r0.apk
2025-12-05 02:57
270K
nwg-panel-pyc-0.10.13-r0.apk
2025-11-28 13:29
270K
nwg-panel-doc-0.10.13-r0.apk
2025-11-28 13:29
4.4K
nwg-panel-0.10.13-r0.apk
2025-11-28 13:29
288K
nwg-look-doc-1.0.6-r2.apk
2026-01-17 22:42
4.2K
nwg-look-1.0.6-r2.apk
2026-01-17 22:42
1.5M
nwg-dock-0.4.3-r6.apk
2026-01-17 22:42
1.8M
nwg-displays-pyc-0.3.26-r0.apk
2025-08-28 20:04
36K
nwg-displays-0.3.26-r0.apk
2025-08-28 20:04
27K
nwg-bar-0.1.6-r16.apk
2026-01-17 22:42
1.6M
nvtop-doc-3.2.0-r0.apk
2025-04-29 23:39
3.5K
nvtop-3.2.0-r0.apk
2025-04-29 23:39
62K
nvimpager-zsh-completion-0.12.0-r0.apk
2024-10-25 19:02
1.8K
nvimpager-doc-0.12.0-r0.apk
2024-10-25 19:02
4.4K
nvimpager-0.12.0-r0.apk
2024-10-25 19:02
13K
nvim-cmp-path-doc-0.0.0_git20221002-r1.apk
2024-10-25 19:02
2.0K
nvim-cmp-path-0.0.0_git20221002-r1.apk
2024-10-25 19:02
3.8K
nvim-cmp-luasnip-doc-0.0.0_git20220501-r1.apk
2024-10-25 19:02
2.0K
nvim-cmp-luasnip-0.0.0_git20220501-r1.apk
2024-10-25 19:02
3.5K
nvim-cmp-lsp-doc-0.0.0_git20220516-r1.apk
2024-10-25 19:02
2.6K
nvim-cmp-lsp-0.0.0_git20220516-r1.apk
2024-10-25 19:02
3.5K
nvim-cmp-doc-0.0.0_git20221011-r1.apk
2024-10-25 19:02
10K
nvim-cmp-cmdline-doc-0.0.0_git20220902-r1.apk
2024-10-25 19:02
1.8K
nvim-cmp-cmdline-0.0.0_git20220902-r1.apk
2024-10-25 19:02
3.3K
nvim-cmp-buffer-doc-0.0.0_git20220810-r1.apk
2024-10-25 19:02
4.2K
nvim-cmp-buffer-0.0.0_git20220810-r1.apk
2024-10-25 19:02
7.8K
nvim-cmp-0.0.0_git20221011-r1.apk
2024-10-25 19:02
55K
nvidia-src-575.64.05-r0.apk
2025-07-22 22:34
18M
nuzzle-doc-1.6-r0.apk
2025-01-17 15:06
3.2K
nuzzle-1.6-r0.apk
2025-01-17 15:06
12K
numbat-doc-1.16.0-r0.apk
2025-08-19 13:10
32K
numbat-1.16.0-r0.apk
2025-08-19 13:10
1.9M
nullmailer-openrc-2.2-r4.apk
2024-10-25 19:02
1.6K
nullmailer-doc-2.2-r4.apk
2024-10-25 19:02
10K
nullmailer-2.2-r4.apk
2024-10-25 19:02
122K
nuklear-doc-4.12.0-r0.apk
2024-10-25 19:02
42K
nuklear-4.12.0-r0.apk
2024-10-25 19:02
220K
nuclei-doc-3.6.2-r1.apk
2026-01-17 22:42
2.3K
nuclei-3.6.2-r1.apk
2026-01-17 22:42
40M
ntpd-rs-openrc-1.6.2-r2.apk
2025-12-18 19:45
1.9K
ntpd-rs-doc-1.6.2-r2.apk
2025-12-18 19:45
24K
ntpd-rs-1.6.2-r2.apk
2025-12-18 19:45
4.0M
nsq-1.3.0-r10.apk
2025-05-14 00:10
26M
nsnake-doc-3.0.0-r0.apk
2024-10-25 19:02
2.6K
nsnake-3.0.0-r0.apk
2024-10-25 19:02
9.6K
nsh-dbg-0.4.2-r1.apk
2024-10-25 19:02
3.4M
nsh-0.4.2-r1.apk
2024-10-25 19:02
613K
nrf5-sdk-doc-17.1.0-r0.apk
2025-08-19 19:54
3.6K
nrf5-sdk-17.1.0-r0.apk
2025-08-19 19:54
47M
notification-daemon-3.20.0-r1.apk
2025-07-01 22:41
58K
normaliz-libs-3.10.4-r3.apk
2025-11-26 08:24
2.8M
normaliz-dev-3.10.4-r3.apk
2025-11-26 08:24
73K
normaliz-3.10.4-r3.apk
2025-11-26 08:24
42K
nomadnet-pyc-0.8.0-r0.apk
2025-09-23 22:32
285K
nomadnet-0.8.0-r0.apk
2025-09-23 22:32
143K
nom-doc-2.8.0-r9.apk
2026-01-17 22:42
4.0K
nom-2.8.0-r9.apk
2026-01-17 22:42
7.2M
noice-doc-0.8-r1.apk
2024-10-25 19:02
3.4K
noice-0.8-r1.apk
2024-10-25 19:02
9.6K
noggin-model-lightweight-0.1-r0.apk
2024-10-25 19:02
1.7M
noggin-model-0.1-r0.apk
2024-10-25 19:02
12M
noggin-doc-0.1-r24.apk
2026-01-17 22:42
2.9K
noggin-0.1-r24.apk
2026-01-17 22:42
1.4M
node-libpg-query-13.1.2-r5.apk
2024-10-25 19:02
18K
noblenote-1.2.1-r1.apk
2024-10-25 19:02
400K
nmqtt-openrc-1.0.7-r0.apk
2026-01-24 13:35
2.0K
nmqtt-doc-1.0.7-r0.apk
2026-01-24 13:35
2.3K
nmqtt-1.0.7-r0.apk
2026-01-24 13:35
830K
nmap-parse-output-doc-1.5.1-r1.apk
2025-05-29 12:00
808K
nmap-parse-output-bash-completion-1.5.1-r1.apk
2025-05-29 12:00
2.0K
nmap-parse-output-1.5.1-r1.apk
2025-05-29 12:00
20K
nm-tray-lang-0.5.1-r0.apk
2025-09-11 17:35
27K
nm-tray-0.5.1-r0.apk
2025-09-11 17:35
101K
nkk-doc-0_git20221010-r0.apk
2024-10-25 19:02
7.0K
nkk-dev-0_git20221010-r0.apk
2024-10-25 19:02
2.9K
nkk-0_git20221010-r0.apk
2024-10-25 19:02
15K
nitro-init-doc-0.7.1-r0.apk
2026-01-26 11:49
4.6K
nitro-init-0.7.1-r0.apk
2026-01-26 11:49
22K
nitro-dev-2.7_beta8-r2.apk
2024-10-25 19:02
190K
nitro-2.7_beta8-r2.apk
2024-10-25 19:02
503K
nim-sha2-doc-0.1.1-r0.apk
2026-01-24 13:35
2.3K
nim-sha2-0.1.1-r0.apk
2026-01-24 13:35
6.0K
nim-nmqtt-1.0.7-r0.apk
2026-01-24 13:35
23K
nim-cligen-doc-1.9.5-r0.apk
2026-01-24 13:35
2.1K
nim-cligen-1.9.5-r0.apk
2026-01-24 13:35
189K
nim-bcrypt-dev-0.2.1-r0.apk
2026-01-24 13:35
16K
nim-bcrypt-0.2.1-r0.apk
2026-01-24 13:35
2.4K
nicotine-plus-pyc-3.3.10-r0.apk
2025-05-01 18:06
789K
nicotine-plus-lang-3.3.10-r0.apk
2025-05-01 18:06
757K
nicotine-plus-doc-3.3.10-r0.apk
2025-05-01 18:06
2.6K
nicotine-plus-3.3.10-r0.apk
2025-05-01 18:06
1.6M
ngs-vim-0.2.14-r0.apk
2024-10-25 19:02
4.9K
ngs-aws-0.2.14-r0.apk
2024-10-25 19:02
33K
ngs-0.2.14-r0.apk
2024-10-25 19:02
293K
nginx-ultimate-bad-bot-blocker-doc-4.2023.10.4046-r1.apk
2024-10-25 19:02
21K
nginx-ultimate-bad-bot-blocker-4.2023.10.4046-r1.apk
2024-10-25 19:02
713K
nfoview-doc-2.1-r0.apk
2025-04-13 21:31
8.0K
nfoview-2.1-r0.apk
2025-04-13 21:31
39K
nfcd-systemd-1.2.4-r0.apk
2025-12-31 16:43
1.8K
nfcd-dev-1.2.4-r0.apk
2025-12-31 16:43
25K
nfcd-1.2.4-r0.apk
2025-12-31 16:43
336K
nextpnr-ice40-0.7-r0.apk
2024-10-25 19:02
69M
nextpnr-gowin-0.7-r0.apk
2024-10-25 19:02
1.5M
nextpnr-generic-0.7-r0.apk
2024-10-25 19:02
744K
nextpnr-ecp5-0.7-r0.apk
2024-10-25 19:02
25M
nextpnr-0.7-r0.apk
2024-10-25 19:02
1.4K
newsyslog-doc-1.2.0.91-r1.apk
2024-10-25 19:02
24K
newsyslog-1.2.0.91-r1.apk
2024-10-25 19:02
18K
newlib-esp-xtensa-none-elf-0_git20240109-r0.apk
2024-10-25 19:02
1.1M
newlib-esp-xtensa-esp8266-elf-0_git20240109-r0.apk
2024-10-25 19:02
4.5M
newlib-esp-xtensa-esp32s3-elf-0_git20240109-r0.apk
2024-10-25 19:02
4.3M
newlib-esp-xtensa-esp32s2-elf-0_git20240109-r0.apk
2024-10-25 19:02
4.3M
newlib-esp-xtensa-esp32-elf-0_git20240109-r0.apk
2024-10-25 19:02
4.3M
newlib-esp-0_git20240109-r0.apk
2024-10-25 19:02
1.5K
networkmanager-dmenu-doc-2.6.1-r1.apk
2025-10-13 16:13
6.8K
networkmanager-dmenu-2.6.1-r1.apk
2025-10-13 16:13
14K
netsed-1.4-r0.apk
2025-08-18 10:15
9.8K
netscanner-doc-0.5.1-r1.apk
2024-10-25 19:02
3.3K
netscanner-0.5.1-r1.apk
2024-10-25 19:02
3.5M
netdiscover-doc-0.21-r0.apk
2025-08-15 12:46
4.2K
netdiscover-0.21-r0.apk
2025-08-15 12:46
459K
net-predictable-doc-1.5.1-r6.apk
2026-01-17 22:42
2.2K
net-predictable-1.5.1-r6.apk
2026-01-17 22:42
1.0M
neofetch-doc-7.1.0-r2.apk
2024-11-07 11:08
6.2K
neofetch-7.1.0-r2.apk
2024-11-07 11:08
86K
neocmakelsp-zsh-completion-0.9.1-r0.apk
2026-01-10 06:58
2.0K
neocmakelsp-fish-completion-0.9.1-r0.apk
2026-01-10 06:58
1.6K
neocmakelsp-doc-0.9.1-r0.apk
2026-01-10 06:58
5.8K
neocmakelsp-bash-completion-0.9.1-r0.apk
2026-01-10 06:58
2.0K
neocmakelsp-0.9.1-r0.apk
2026-01-10 06:58
1.7M
neo4j-client-doc-2.2.0-r3.apk
2024-10-25 19:02
5.4K
neo4j-client-2.2.0-r3.apk
2024-10-25 19:02
29K
nemo-gtkhash-plugin-1.5-r0.apk
2024-10-25 19:02
23K
neard-openrc-0.19-r1.apk
2026-01-18 19:14
1.7K
neard-doc-0.19-r1.apk
2026-01-18 19:14
5.6K
neard-dev-0.19-r1.apk
2026-01-18 19:14
11K
neard-0.19-r1.apk
2026-01-18 19:14
133K
ndpi-dev-4.10-r0.apk
2024-10-25 19:02
879K
ndpi-4.10-r0.apk
2024-10-25 19:02
1.3M
nbsdgames-doc-5-r0.apk
2024-10-25 19:02
9.4K
nbsdgames-5-r0.apk
2024-10-25 19:02
101K
nb-zsh-completion-7.24.0-r0.apk
2026-01-21 17:04
2.9K
nb-full-7.24.0-r0.apk
2026-01-21 17:04
1.3K
nb-fish-completion-7.24.0-r0.apk
2026-01-21 17:04
2.8K
nb-doc-7.24.0-r0.apk
2026-01-21 17:04
79K
nb-bash-completion-7.24.0-r0.apk
2026-01-21 17:04
3.0K
nb-7.24.0-r0.apk
2026-01-21 17:04
157K
nautilus-python-doc-4.0.1-r0.apk
2025-10-12 21:54
4.2K
nautilus-python-dev-4.0.1-r0.apk
2025-10-12 21:54
1.7K
nautilus-python-4.0.1-r0.apk
2025-10-12 21:54
9.3K
nano-hare-0_git20231021-r0.apk
2024-10-25 19:02
2.2K
naken_asm-dev-0_git20240726-r0.apk
2026-01-24 14:01
89K
naken_asm-0_git20240726-r0.apk
2026-01-24 14:01
947K
naabu-doc-2.4.0-r0.apk
2026-01-22 03:12
2.3K
naabu-2.4.0-r0.apk
2026-01-22 03:12
12M
n30f-2.0-r3.apk
2024-10-25 19:02
6.9K
mxclient-0_git20211002-r1.apk
2024-10-25 19:02
76K
musikcube-plugin-taglibreader-3.0.5-r0.apk
2025-09-27 21:15
35K
musikcube-plugin-supereqdsp-3.0.5-r0.apk
2025-09-27 21:15
27K
musikcube-plugin-stockencoders-3.0.5-r0.apk
2025-09-27 21:15
20K
musikcube-plugin-server-3.0.5-r0.apk
2025-09-27 21:15
377K
musikcube-plugin-openmpt-3.0.5-r0.apk
2025-09-27 21:15
31K
musikcube-plugin-mpris-3.0.5-r0.apk
2025-09-27 21:15
21K
musikcube-plugin-httpdatastream-3.0.5-r0.apk
2025-09-27 21:15
79K
musikcube-plugin-all-3.0.5-r0.apk
2025-09-27 21:15
1.3K
musikcube-dev-3.0.5-r0.apk
2025-09-27 21:15
19K
musikcube-3.0.5-r0.apk
2025-09-27 21:15
2.3M
muse-doc-4.2.1-r2.apk
2025-05-14 00:10
4.1M
muse-4.2.1-r2.apk
2025-05-14 00:10
6.1M
mtg-openrc-2.1.7-r27.apk
2026-01-17 22:42
1.9K
mtg-2.1.7-r27.apk
2026-01-17 22:42
4.7M
mtail-openrc-3.2.26-r2.apk
2026-01-17 22:42
2.0K
mtail-3.2.26-r2.apk
2026-01-17 22:42
14M
mstflint-doc-4.26.0.1-r0.apk
2024-10-25 19:02
18K
mstflint-4.26.0.1-r0.apk
2024-10-25 19:02
4.1M
msr-tools-1.3-r1.apk
2024-10-25 19:02
9.9K
mspdebug-doc-0.25-r1.apk
2024-10-25 19:02
14K
mspdebug-0.25-r1.apk
2024-10-25 19:02
202K
msh-openrc-2.5.0-r18.apk
2026-01-17 22:42
2.0K
msh-2.5.0-r18.apk
2026-01-17 22:42
3.1M
msgpuck-doc-2.0-r1.apk
2024-10-25 19:02
7.3K
msgpuck-dev-2.0-r1.apk
2024-10-25 19:02
22K
msgpuck-2.0-r1.apk
2024-10-25 19:02
1.2K
mrsh-libs-0_git20210518-r1.apk
2024-10-25 19:02
59K
mrsh-dev-0_git20210518-r1.apk
2024-10-25 19:02
10K
mrsh-dbg-0_git20210518-r1.apk
2024-10-25 19:02
205K
mrsh-0_git20210518-r1.apk
2024-10-25 19:02
5.7K
mqtt2prometheus-0.1.7-r22.apk
2026-01-17 22:42
4.7M
mpv-sponsorblock-2.2.0-r0.apk
2025-06-16 15:16
1.5M
mpdris2-lang-0.9.1-r3.apk
2024-10-25 19:02
2.3K
mpdris2-doc-0.9.1-r3.apk
2024-10-25 19:02
15K
mpdris2-0.9.1-r3.apk
2024-10-25 19:02
15K
mpdcron-zsh-completion-0.3-r1.apk
2024-10-25 19:02
2.9K
mpdcron-doc-0.3-r1.apk
2024-10-25 19:02
13K
mpdcron-dev-0.3-r1.apk
2024-10-25 19:02
55K
mpdcron-0.3-r1.apk
2024-10-25 19:02
99K
mp3val-0.1.8-r1.apk
2024-10-25 19:02
13K
motion-openrc-4.7.1-r0.apk
2025-09-27 21:15
2.2K
motion-lang-4.7.1-r0.apk
2025-09-27 21:15
471K
motion-doc-4.7.1-r0.apk
2025-09-27 21:15
140K
motion-4.7.1-r0.apk
2025-09-27 21:15
142K
morph-browser-lang-1.99.2-r0.apk
2026-01-25 21:15
344K
morph-browser-1.99.2-r0.apk
2026-01-25 21:15
535K
moosefs-static-4.56.6-r2.apk
2025-06-19 08:45
697K
moosefs-metalogger-openrc-4.56.6-r2.apk
2025-06-19 08:45
1.7K
moosefs-metalogger-4.56.6-r2.apk
2025-06-19 08:45
39K
moosefs-master-openrc-4.56.6-r2.apk
2025-06-19 08:45
1.7K
moosefs-master-4.56.6-r2.apk
2025-06-19 08:45
364K
moosefs-doc-4.56.6-r2.apk
2025-06-19 08:45
95K
moosefs-client-4.56.6-r2.apk
2025-06-19 08:45
636K
moosefs-chunkserver-openrc-4.56.6-r2.apk
2025-06-19 08:45
1.7K
moosefs-chunkserver-4.56.6-r2.apk
2025-06-19 08:45
202K
moosefs-cgiserv-openrc-4.56.6-r2.apk
2025-06-19 08:45
2.0K
moosefs-cgiserv-4.56.6-r2.apk
2025-06-19 08:45
7.8K
moosefs-cgi-4.56.6-r2.apk
2025-06-19 08:45
121K
moosefs-4.56.6-r2.apk
2025-06-19 08:45
264K
moon-buggy-doc-1.0.51-r1.apk
2024-10-25 19:02
7.1K
moon-buggy-1.0.51-r1.apk
2024-10-25 19:02
36K
monopd-openrc-0.10.4-r0.apk
2025-01-11 11:13
1.7K
monopd-0.10.4-r0.apk
2025-01-11 11:13
91K
mongo-cxx-driver-dev-3.8.0-r0.apk
2024-10-25 19:02
89K
mongo-cxx-driver-3.8.0-r0.apk
2024-10-25 19:02
177K
monetdb-doc-11.33.11-r4.apk
2024-10-25 19:02
321K
monetdb-dev-11.33.11-r4.apk
2024-10-25 19:02
77K
monetdb-11.33.11-r4.apk
2024-10-25 19:02
2.4M
moka-icon-theme-5.4.0-r2.apk
2024-10-25 19:02
114M
moe-doc-1.14-r0.apk
2024-10-25 19:02
19K
moe-1.14-r0.apk
2024-10-25 19:02
104K
mods-doc-1.8.1-r4.apk
2026-01-17 22:42
2.3K
mods-1.8.1-r4.apk
2026-01-17 22:42
11M
modem-manager-gui-lang-0.0.20-r0.apk
2024-10-25 19:02
129K
modem-manager-gui-doc-0.0.20-r0.apk
2024-10-25 19:02
3.9M
modem-manager-gui-0.0.20-r0.apk
2024-10-25 19:02
343K
mobroute-doc-0.10.0-r6.apk
2026-01-17 22:42
1.3M
mobroute-0.10.0-r6.apk
2026-01-17 22:42
4.7M
mobpass-pyc-0.2-r6.apk
2024-10-25 19:02
5.2K
mobpass-0.2-r6.apk
2024-10-25 19:02
18K
mnemosyne-pyc-2.10.1-r1.apk
2024-10-25 19:02
628K
mnemosyne-lang-2.10.1-r1.apk
2024-10-25 19:02
439K
mnemosyne-2.10.1-r1.apk
2024-10-25 19:02
607K
mnamer-pyc-2.5.5-r1.apk
2024-10-25 19:02
60K
mnamer-2.5.5-r1.apk
2024-10-25 19:02
32K
mml-zsh-completion-1.0.0-r1.apk
2025-09-30 00:40
2.8K
mml-fish-completion-1.0.0-r1.apk
2025-09-30 00:40
2.2K
mml-doc-1.0.0-r1.apk
2025-09-30 00:40
3.8K
mml-bash-completion-1.0.0-r1.apk
2025-09-30 00:40
2.3K
mml-1.0.0-r1.apk
2025-09-30 00:40
875K
mmix-0_git20221025-r0.apk
2024-10-25 19:02
157K
mmar-0.2.5-r1.apk
2025-05-14 00:10
2.7M
mm-doc-1.4.2-r1.apk
2024-10-25 19:02
14K
mm-dev-1.4.2-r1.apk
2024-10-25 19:02
13K
mm-common-doc-1.0.7-r0.apk
2025-06-27 16:39
33K
mm-common-1.0.7-r0.apk
2025-06-27 16:39
414K
mm-1.4.2-r1.apk
2024-10-25 19:02
7.9K
mlxl-0.1-r0.apk
2024-10-25 19:02
6.0K
mktorrent-borg-doc-0.9.9-r1.apk
2024-10-25 19:02
2.5K
mktorrent-borg-0.9.9-r1.apk
2024-10-25 19:02
9.8K
mkrundir-0.4.0-r1.apk
2024-11-29 00:08
75K
mkosi-sandbox-26-r1.apk
2025-12-27 17:17
14K
mkosi-pyc-26-r1.apk
2025-12-27 17:17
438K
mkosi-doc-26-r1.apk
2025-12-27 17:17
75K
mkosi-26-r1.apk
2025-12-27 17:17
283K
mkg3a-doc-0.5.0-r1.apk
2024-10-25 19:02
3.1K
mkg3a-0.5.0-r1.apk
2024-10-25 19:02
14K
mkdotenv-0.4.9-r3.apk
2026-01-17 22:42
1.0M
mkdocs-windmill-pyc-1.0.5-r4.apk
2024-10-25 19:02
1.8K
mkdocs-windmill-1.0.5-r4.apk
2024-10-25 19:02
944K
mkdocs-rtd-dropdown-pyc-1.0.2-r5.apk
2024-10-25 19:02
1.8K
mkdocs-rtd-dropdown-1.0.2-r5.apk
2024-10-25 19:02
248K
mkdocs-ivory-pyc-0.4.6-r5.apk
2024-10-25 19:02
1.8K
mkdocs-ivory-0.4.6-r5.apk
2024-10-25 19:02
11K
mkdocs-gitbook-pyc-0.0.1-r5.apk
2024-10-25 19:02
1.8K
mkdocs-gitbook-0.0.1-r5.apk
2024-10-25 19:02
644K
mkdocs-cluster-pyc-0.0.9-r5.apk
2024-10-25 19:02
1.8K
mkdocs-cluster-0.0.9-r5.apk
2024-10-25 19:02
651K
mkdocs-cinder-pyc-1.2.0-r5.apk
2024-10-25 19:02
1.8K
mkdocs-cinder-1.2.0-r5.apk
2024-10-25 19:02
249K
mkdocs-bootswatch-pyc-1.1-r5.apk
2024-10-25 19:02
4.7K
mkdocs-bootswatch-1.1-r5.apk
2024-10-25 19:02
538K
mkdocs-bootstrap386-pyc-0.0.2-r5.apk
2024-10-25 19:02
1.8K
mkdocs-bootstrap386-0.0.2-r5.apk
2024-10-25 19:02
791K
mkdocs-bootstrap4-pyc-0.1.5-r5.apk
2024-10-25 19:02
1.8K
mkdocs-bootstrap4-0.1.5-r5.apk
2024-10-25 19:02
260K
mkdocs-bootstrap-pyc-1.1.1-r2.apk
2024-10-25 19:02
1.8K
mkdocs-bootstrap-1.1.1-r2.apk
2024-10-25 19:02
29K
mkcert-1.4.4-r25.apk
2026-01-17 22:42
1.8M
mkbrr-1.20.0-r0.apk
2026-01-27 11:45
4.3M
mjpg-streamer-0_git20210220-r2.apk
2025-05-14 15:03
178K
mirrorhall-0.1.1-r2.apk
2025-08-09 16:28
26K
miracle-wm-dev-0.8.3-r0.apk
2025-12-31 16:43
15K
miracle-wm-0.8.3-r0.apk
2025-12-31 16:43
455K
mir-test-tools-2.25.2-r0.apk
2025-12-18 14:24
1.2M
mir-dev-2.25.2-r0.apk
2025-12-18 14:24
8.4M
mir-demos-2.25.2-r0.apk
2025-12-18 14:24
145K
mir-2.25.2-r0.apk
2025-12-18 14:24
2.3M
mint-y-theme-xfwm4-2.3.7-r1.apk
2026-01-24 14:18
206K
mint-y-theme-metacity-2.3.7-r1.apk
2026-01-24 14:18
55K
mint-y-theme-gtk4-2.3.7-r1.apk
2026-01-24 14:18
1.7M
mint-y-theme-gtk3-2.3.7-r1.apk
2026-01-24 14:18
2.0M
mint-y-theme-2.3.7-r1.apk
2026-01-24 14:18
3.5K
mint-y-icons-doc-1.9.1-r0.apk
2026-01-07 00:06
12K
mint-y-icons-1.9.1-r0.apk
2026-01-07 00:06
73M
mint-x-theme-xfwm4-2.3.7-r1.apk
2026-01-24 14:18
31K
mint-x-theme-metacity-2.3.7-r1.apk
2026-01-24 14:18
6.0K
mint-x-theme-gtk4-2.3.7-r1.apk
2026-01-24 14:18
503K
mint-x-theme-gtk3-2.3.7-r1.apk
2026-01-24 14:18
597K
mint-x-theme-2.3.7-r1.apk
2026-01-24 14:18
2.8K
mint-x-icons-doc-1.7.5-r0.apk
2025-12-01 06:05
7.9K
mint-x-icons-1.7.5-r0.apk
2025-12-01 06:05
23M
mint-themes-doc-2.3.7-r1.apk
2026-01-24 14:18
15K
mint-themes-2.3.7-r1.apk
2026-01-24 14:18
4.8M
minisatip-openrc-1.3.4-r0.apk
2024-10-25 19:02
1.9K
minisatip-1.3.4-r0.apk
2024-10-25 19:02
311K
minimodem-doc-0.24-r1.apk
2024-10-25 19:02
5.2K
minimodem-0.24-r1.apk
2024-10-25 19:02
21K
minikube-zsh-completion-1.34.0-r11.apk
2026-01-17 22:42
11K
minikube-fish-completion-1.34.0-r11.apk
2026-01-17 22:42
4.6K
minikube-bash-completion-1.34.0-r11.apk
2026-01-17 22:42
10K
minikube-1.34.0-r11.apk
2026-01-17 22:42
21M
minigalaxy-pyc-1.4.0-r0.apk
2025-07-11 03:36
135K
minigalaxy-1.4.0-r0.apk
2025-07-11 03:36
197K
minidyndns-openrc-1.3.0-r3.apk
2024-10-25 19:02
1.8K
minidyndns-doc-1.3.0-r3.apk
2024-10-25 19:02
5.1K
minidyndns-1.3.0-r3.apk
2024-10-25 19:02
12K
mingw-w64-libusb-1.0.29-r0.apk
2025-09-19 04:51
216K
mimeo-pyc-2023-r2.apk
2024-10-25 19:02
42K
mimeo-2023-r2.apk
2024-10-25 19:02
28K
mimedefang-doc-3.6-r1.apk
2025-10-06 01:15
81K
mimedefang-3.6-r1.apk
2025-10-06 01:15
154K
mimalloc1-insecure-1.9.4-r0.apk
2025-11-07 17:28
70K
mimalloc1-dev-1.9.4-r0.apk
2025-11-07 17:28
512K
mimalloc1-debug-1.9.4-r0.apk
2025-11-07 17:28
210K
mimalloc1-1.9.4-r0.apk
2025-11-07 17:28
77K
milkytracker-doc-1.04.00-r2.apk
2024-10-25 19:02
50K
milkytracker-1.04.00-r2.apk
2024-10-25 19:02
1.0M
microsocks-openrc-1.0.5-r0.apk
2025-12-21 23:53
1.8K
microsocks-doc-1.0.5-r0.apk
2025-12-21 23:53
3.9K
microsocks-1.0.5-r0.apk
2025-12-21 23:53
7.9K
metalang99-1.13.3-r0.apk
2024-10-25 19:02
54K
metadata-cleaner-lang-2.5.6-r0.apk
2025-01-31 15:05
66K
metadata-cleaner-doc-2.5.6-r0.apk
2025-01-31 15:05
1.9M
metadata-cleaner-2.5.6-r0.apk
2025-01-31 15:05
49K
mergerfs-doc-2.41.1-r0.apk
2025-12-13 16:07
3.3K
mergerfs-2.41.1-r0.apk
2025-12-13 16:07
407K
menumaker-0.99.14-r1.apk
2024-10-25 19:02
111K
memray-pyc-1.19.1-r0.apk
2025-11-08 15:41
96K
memray-1.19.1-r0.apk
2025-11-08 15:41
1.8M
memdump-doc-1.01-r1.apk
2024-10-25 19:02
3.1K
memdump-1.01-r1.apk
2024-10-25 19:02
5.7K
melange-zsh-completion-0.37.5-r1.apk
2026-01-17 22:42
4.0K
melange-fish-completion-0.37.5-r1.apk
2026-01-17 22:42
4.3K
melange-bash-completion-0.37.5-r1.apk
2026-01-17 22:42
6.6K
melange-0.37.5-r1.apk
2026-01-17 22:42
13M
megazeux-doc-2.93d-r0.apk
2025-06-10 13:49
465K
megazeux-2.93d-r0.apk
2025-06-10 13:49
1.4M
megatools-doc-1.11.5.20250706-r0.apk
2025-07-30 15:11
52K
megatools-bash-completion-1.11.5.20250706-r0.apk
2025-07-30 15:11
4.1K
megatools-1.11.5.20250706-r0.apk
2025-07-30 15:11
61K
meep-dev-1.31.0-r1.apk
2025-08-19 13:05
492K
meep-1.31.0-r1.apk
2025-08-19 13:05
642K
mediastreamer2-plugin-x264-20200722-r6.apk
2024-10-25 19:02
7.7K
mediastreamer2-plugin-openh264-5.2.0_git20231020-r1.apk
2025-03-11 11:19
11K
mediastreamer2-doc-5.3.112-r1.apk
2025-10-19 13:36
108K
mediastreamer2-dev-5.3.112-r1.apk
2025-10-19 13:36
110K
mediastreamer2-5.3.112-r1.apk
2025-10-19 13:36
359K
mediascanner2-systemd-0.118-r4.apk
2025-11-17 13:11
1.8K
mediascanner2-0.118-r4.apk
2025-11-17 13:11
259K
mdp-doc-1.0.18-r0.apk
2025-07-10 05:38
3.9K
mdp-1.0.18-r0.apk
2025-07-10 05:38
16K
mdnsd-static-0.12-r1.apk
2024-10-25 19:02
17K
mdnsd-openrc-0.12-r1.apk
2024-10-25 19:02
2.1K
mdnsd-libs-0.12-r1.apk
2024-10-25 19:02
18K
mdnsd-doc-0.12-r1.apk
2024-10-25 19:02
14K
mdnsd-0.12-r1.apk
2024-10-25 19:02
24K
mdbook-plantuml-0.8.0-r0.apk
2024-10-25 19:02
887K
mdbook-linkcheck-0.7.7-r0.apk
2025-05-16 19:16
2.7M
mdbook-katex-0.9.4-r0.apk
2025-05-17 17:30
1.3M
mdbook-alerts-0.8.0-r0.apk
2025-09-26 12:58
730K
mdbook-admonish-1.20.0-r0.apk
2025-06-16 23:18
1.0M
md5ha1-0_git20171202-r1.apk
2024-10-25 19:02
8.8K
mcjoin-doc-2.11-r0.apk
2024-10-25 19:02
54K
mcjoin-2.11-r0.apk
2024-10-25 19:02
23K
mbrola-3.3-r0.apk
2024-10-25 19:02
22K
mbpfan-openrc-2.4.0-r2.apk
2026-01-27 05:16
1.7K
mbpfan-doc-2.4.0-r2.apk
2026-01-27 05:16
5.3K
mbpfan-2.4.0-r2.apk
2026-01-27 05:16
13K
maxima-emacs-5.48.1-r9.apk
2025-08-22 10:16
111K
maxima-doc-extra-5.48.1-r9.apk
2025-08-22 10:16
9.6M
maxima-doc-5.48.1-r9.apk
2025-08-22 10:16
846K
maxima-bash-completion-5.48.1-r9.apk
2025-08-22 10:16
2.3K
maxima-5.48.1-r9.apk
2025-08-22 10:16
25M
mautrix-zulip-openrc-0.2511.0-r2.apk
2026-01-17 22:42
2.0K
mautrix-zulip-doc-0.2511.0-r2.apk
2026-01-17 22:42
13K
mautrix-zulip-0.2511.0-r2.apk
2026-01-17 22:42
6.7M
mautrix-linkedin-openrc-0.2512.0-r1.apk
2026-01-17 22:42
2.0K
mautrix-linkedin-doc-0.2512.0-r1.apk
2026-01-17 22:42
13K
mautrix-linkedin-0.2512.0-r1.apk
2026-01-17 22:42
6.6M
mattermost-desktop-6.0.3-r0.apk
2026-01-17 22:42
4.9M
materia-gtk4-20210322-r4.apk
2026-01-20 04:56
43K
materia-gtk3-20210322-r4.apk
2026-01-20 04:56
63K
materia-gtk-theme-20210322-r4.apk
2026-01-20 04:56
139K
materia-gnome-shell-20210322-r4.apk
2026-01-20 04:56
29K
materia-dark-gtk4-20210322-r4.apk
2026-01-20 04:56
29K
materia-dark-gtk3-20210322-r4.apk
2026-01-20 04:56
40K
materia-dark-gnome-shell-20210322-r4.apk
2026-01-20 04:56
29K
materia-dark-compact-gtk4-20210322-r4.apk
2026-01-20 04:56
29K
materia-dark-compact-gtk3-20210322-r4.apk
2026-01-20 04:56
40K
materia-dark-compact-gnome-shell-20210322-r4.apk
2026-01-20 04:56
29K
materia-dark-compact-chromium-20210322-r4.apk
2026-01-20 04:56
5.7K
materia-dark-compact-20210322-r4.apk
2026-01-20 04:56
1.7K
materia-dark-chromium-20210322-r4.apk
2026-01-20 04:56
5.7K
materia-dark-20210322-r4.apk
2026-01-20 04:56
1.7K
materia-compact-gtk4-20210322-r4.apk
2026-01-20 04:56
43K
materia-compact-gtk3-20210322-r4.apk
2026-01-20 04:56
63K
materia-compact-gnome-shell-20210322-r4.apk
2026-01-20 04:56
29K
materia-compact-chromium-20210322-r4.apk
2026-01-20 04:56
5.7K
materia-compact-20210322-r4.apk
2026-01-20 04:56
1.7K
materia-chromium-20210322-r4.apk
2026-01-20 04:56
5.7K
materia-20210322-r4.apk
2026-01-20 04:56
1.7K
mat2-pyc-0.13.5-r0.apk
2025-09-16 19:00
54K
mat2-doc-0.13.5-r0.apk
2025-09-16 19:00
7.7K
mat2-0.13.5-r0.apk
2025-09-16 19:00
35K
masky-pyc-0.2.0-r2.apk
2025-05-29 12:00
64K
masky-0.2.0-r2.apk
2025-05-29 12:00
277K
marxan-4.0.7-r1.apk
2024-10-25 19:02
541K
mapserver-dev-8.4.1-r1.apk
2025-12-04 22:48
540K
mapserver-8.4.1-r1.apk
2025-12-04 22:48
1.3M
mapnik-doc-4.1.4-r2.apk
2026-01-27 11:51
143K
mapnik-dev-4.1.4-r2.apk
2026-01-27 11:51
487K
mapnik-4.1.4-r2.apk
2026-01-27 11:51
12M
manifest-tool-2.2.1-r0.apk
2026-01-23 17:23
4.9M
mangr0ve-doc-0.1.2-r0.apk
2024-10-25 19:02
14K
mangr0ve-0.1.2-r0.apk
2024-10-25 19:02
2.8K
mangowc-0.11.0-r0.apk
2026-01-21 09:32
115K
mangal-zsh-completion-4.0.6-r24.apk
2026-01-17 22:42
4.0K
mangal-fish-completion-4.0.6-r24.apk
2026-01-17 22:42
3.9K
mangal-bash-completion-4.0.6-r24.apk
2026-01-17 22:42
5.0K
mangal-4.0.6-r24.apk
2026-01-17 22:42
11M
mame-tools-0.251-r0.apk
2024-10-25 19:02
2.6M
mame-plugins-0.251-r0.apk
2024-10-25 19:02
166K
mame-mess-0.251-r0.apk
2024-10-25 19:02
52M
mame-lang-0.251-r0.apk
2024-10-25 19:02
1.4M
mame-doc-0.251-r0.apk
2024-10-25 19:02
24K
mame-data-0.251-r0.apk
2024-10-25 19:02
19M
mame-common-0.251-r0.apk
2024-10-25 19:02
2.7K
mame-arcade-0.251-r0.apk
2024-10-25 19:02
68M
mame-0.251-r0.apk
2024-10-25 19:02
96M
malcontent-doc-0.13.1-r0.apk
2025-09-03 21:08
45K
malcontent-dev-0.13.1-r0.apk
2025-09-03 21:08
24K
malcontent-0.13.1-r0.apk
2025-09-03 21:08
157K
makeself-2.5.0-r0.apk
2024-10-25 19:01
13K
makedumpfile-openrc-1.7.8-r0.apk
2025-11-07 17:28
3.1K
makedumpfile-doc-1.7.8-r0.apk
2025-11-07 17:28
24K
makedumpfile-1.7.8-r0.apk
2025-11-07 17:28
189K
makeclapman-doc-2.4.4-r11.apk
2026-01-17 22:42
4.2K
makeclapman-2.4.4-r11.apk
2026-01-17 22:42
1.4M
mailsec-check-0_git20210729-r32.apk
2026-01-17 22:42
2.7M
maildir2rss-0.0.7-r11.apk
2026-01-17 22:42
3.8M
maildir-rank-addr-doc-1.4.1-r2.apk
2026-01-17 22:42
2.3K
maildir-rank-addr-1.4.1-r2.apk
2026-01-17 22:42
3.5M
mailctl-zsh-completion-0.9.2-r0.apk
2024-10-25 19:01
2.0K
mailctl-fish-completion-0.9.2-r0.apk
2024-10-25 19:01
1.9K
mailctl-doc-0.9.2-r0.apk
2024-10-25 19:01
5.1K
mailctl-bash-completion-0.9.2-r0.apk
2024-10-25 19:01
1.8K
mailctl-0.9.2-r0.apk
2024-10-25 19:01
6.8M
magpie-lang-0.9.4-r0.apk
2025-10-16 17:07
849K
magpie-dev-0.9.4-r0.apk
2025-10-16 17:07
246K
magpie-0.9.4-r0.apk
2025-10-16 17:07
1.8M
mage-1.13.0-r23.apk
2025-05-14 00:10
1.6M
macchina-doc-6.4.0-r0.apk
2025-06-25 03:45
5.7K
macchina-6.4.0-r0.apk
2025-06-25 03:45
1.0M
m2r2-pyc-0.3.4-r0.apk
2026-01-09 16:03
15K
m2r2-0.3.4-r0.apk
2026-01-09 16:03
12K
lyrics-in-terminal-1.7.0-r0.apk
2025-01-03 10:09
38K
lynis-doc-3.1.4-r0.apk
2025-07-29 08:08
50K
lynis-bash-completion-3.1.4-r0.apk
2025-07-29 08:08
3.0K
lynis-3.1.4-r0.apk
2025-07-29 08:08
276K
lychee-doc-0.19.1-r0.apk
2025-06-17 07:59
13K
lychee-0.19.1-r0.apk
2025-06-17 07:59
3.0M
lxqt-wayland-session-doc-0.3.0-r0.apk
2025-11-21 03:24
29K
lxqt-wayland-session-0.3.0-r0.apk
2025-11-21 03:24
339K
lxappearance-lang-0.6.3-r4.apk
2026-01-14 15:24
79K
lxappearance-doc-0.6.3-r4.apk
2026-01-14 15:24
2.6K
lxappearance-dev-0.6.3-r4.apk
2026-01-14 15:24
3.2K
lxappearance-0.6.3-r4.apk
2026-01-14 15:24
28K
lwan-libs-0.1-r2.apk
2024-10-25 19:01
70K
lwan-dev-0.1-r2.apk
2024-10-25 19:01
10K
lwan-dbg-0.1-r2.apk
2024-10-25 19:01
396K
lwan-0.1-r2.apk
2024-10-25 19:01
71K
lv_font_conv-doc-1.5.3-r0.apk
2025-08-19 19:54
5.0K
lv_font_conv-1.5.3-r0.apk
2025-08-19 19:54
1.1M
lutris-pyc-0.5.19-r1.apk
2025-09-16 18:09
1.1M
lutris-lang-0.5.19-r1.apk
2025-09-16 18:09
810K
lutris-doc-0.5.19-r1.apk
2025-09-16 18:09
2.3K
lutris-0.5.19-r1.apk
2025-09-16 18:09
819K
lutgen-zsh-completion-1.0.1-r0.apk
2025-11-20 10:14
1.7K
lutgen-fish-completion-1.0.1-r0.apk
2025-11-20 10:14
1.8K
lutgen-doc-1.0.1-r0.apk
2025-11-20 10:14
4.5K
lutgen-bash-completion-1.0.1-r0.apk
2025-11-20 10:14
1.7K
lutgen-1.0.1-r0.apk
2025-11-20 10:14
1.8M
lurk-doc-0.3.11-r0.apk
2026-01-05 18:46
9.7K
lurk-0.3.11-r0.apk
2026-01-05 18:46
778K
lumins-0.4.0-r2.apk
2024-10-25 19:01
659K
lumina-desktop-textedit-1.6.2-r0.apk
2024-10-25 19:01
190K
lumina-desktop-sudo-1.6.2-r0.apk
2024-10-25 19:01
95K
lumina-desktop-screenshot-1.6.2-r0.apk
2024-10-25 19:01
165K
lumina-desktop-photo-1.6.2-r0.apk
2024-10-25 19:01
123K
lumina-desktop-mediaplayer-1.6.2-r0.apk
2024-10-25 19:01
197K
lumina-desktop-fm-1.6.2-r0.apk
2024-10-25 19:01
387K
lumina-desktop-fileinfo-1.6.2-r0.apk
2024-10-25 19:01
158K
lumina-desktop-doc-1.6.2-r0.apk
2024-10-25 19:01
12K
lumina-desktop-coreutils-1.6.2-r0.apk
2024-10-25 19:01
814K
lumina-desktop-core-1.6.2-r0.apk
2024-10-25 19:01
8.9M
lumina-desktop-archiver-1.6.2-r0.apk
2024-10-25 19:01
164K
lumina-desktop-1.6.2-r0.apk
2024-10-25 19:01
1.2K
luksmeta-doc-9-r0.apk
2024-10-25 19:01
5.5K
luksmeta-dev-9-r0.apk
2024-10-25 19:01
3.1K
luksmeta-9-r0.apk
2024-10-25 19:01
13K
luapak-0.1.0_beta5-r0.apk
2024-10-25 19:01
36K
luacov-html-1.0.0-r1.apk
2024-10-25 19:01
1.2K
lua5.4-luastatic-0.0.12-r1.apk
2024-10-25 19:01
9.0K
lua5.4-linenoise-0.9-r1.apk
2024-10-25 19:01
18K
lua5.4-editorconfig-0.3.0-r0.apk
2024-10-25 19:01
4.6K
lua5.4-cmark-0.31.1-r0.apk
2026-01-20 12:26
94K
lua5.3-psl-0.3-r0.apk
2024-10-25 19:01
6.3K
lua5.3-luastatic-0.0.12-r1.apk
2024-10-25 19:01
9.0K
lua5.3-luacov-html-1.0.0-r1.apk
2024-10-25 19:01
413K
lua5.3-linenoise-0.9-r1.apk
2024-10-25 19:01
18K
lua5.3-editorconfig-0.3.0-r0.apk
2024-10-25 19:01
4.6K
lua5.3-cmark-0.31.1-r0.apk
2026-01-20 12:26
94K
lua5.2-xml-1.1.3-r2.apk
2024-10-25 19:01
23K
lua5.2-ubus-2025.10.17-r0.apk
2025-10-25 12:57
8.9K
lua5.2-psl-0.3-r0.apk
2024-10-25 19:01
6.3K
lua5.2-luastatic-0.0.12-r1.apk
2024-10-25 19:01
8.9K
lua5.2-luacov-html-1.0.0-r1.apk
2024-10-25 19:01
413K
lua5.2-linenoise-0.9-r1.apk
2024-10-25 19:01
18K
lua5.2-libmodbus-0.6.1-r0.apk
2024-10-25 19:01
10K
lua5.2-editorconfig-0.3.0-r0.apk
2024-10-25 19:01
4.5K
lua5.2-cmark-0.31.1-r0.apk
2026-01-20 12:26
94K
lua5.1-xml-1.1.3-r2.apk
2024-10-25 19:01
23K
lua5.1-ubus-2025.10.17-r0.apk
2025-10-25 12:57
8.9K
lua5.1-psl-0.3-r0.apk
2024-10-25 19:01
6.4K
lua5.1-mqtt-3.4.3-r0.apk
2026-01-23 00:24
1.3K
lua5.1-luastatic-0.0.12-r1.apk
2024-10-25 19:01
78K
lua5.1-luacov-html-1.0.0-r1.apk
2024-10-25 19:01
413K
lua5.1-linenoise-0.9-r1.apk
2024-10-25 19:01
18K
lua5.1-libmodbus-0.6.1-r0.apk
2024-10-25 19:01
10K
lua5.1-libguestfs-1.56.1-r0.apk
2025-07-22 22:16
82K
lua5.1-lcurses-9.0.0-r0.apk
2024-10-25 19:01
25K
lua5.1-cmark-0.31.1-r0.apk
2026-01-20 12:26
94K
lua-xml-1.1.3-r2.apk
2024-10-25 19:01
1.4K
lua-resty-upload-0.11-r0.apk
2024-10-25 19:01
3.6K
lua-resty-redis-0.29-r0.apk
2024-10-25 19:01
5.3K
lua-psl-0.3-r0.apk
2024-10-25 19:01
1.1K
lua-pkgbuild-1.0.0-r0.apk
2026-01-23 00:22
3.6K
lua-mqtt-3.4.3-r0.apk
2026-01-23 00:24
26K
lua-markdown-doc-0.33-r0.apk
2026-01-23 00:17
2.3K
lua-markdown-0.33-r0.apk
2026-01-23 00:17
11K
lua-lut-1.2.1-r0.apk
2024-10-25 19:01
89K
lua-lupa-1.0-r0.apk
2024-10-25 19:01
20K
lua-luastatic-0.0.12-r1.apk
2024-10-25 19:01
1.5K
lua-linenoise-0.9-r1.apk
2024-10-25 19:01
1.2K
lua-libmodbus-doc-0.6.1-r0.apk
2024-10-25 19:01
19K
lua-libmodbus-0.6.1-r0.apk
2024-10-25 19:01
1.2K
lua-lcurses-9.0.0-r0.apk
2024-10-25 19:01
1.1K
lua-inet-0.2.0-r1.apk
2024-10-25 19:01
9.1K
lua-fn-0.1.0-r0.apk
2024-10-25 19:01
3.4K
lua-editorconfig-0.3.0-r0.apk
2024-10-25 19:01
1.2K
lua-dkjson-2.8-r0.apk
2026-01-23 00:28
8.6K
lua-cmark-0.31.1-r0.apk
2026-01-20 12:26
1.3K
lua-apkbuild-1.1.0-r0.apk
2026-01-23 00:25
4.5K
lspmux-doc-0.3.0-r0.apk
2025-11-07 17:28
6.6K
lspmux-0.3.0-r0.apk
2025-11-07 17:28
972K
lsix-1.8.2-r0.apk
2024-10-25 19:01
6.5K
lshell-pyc-0.9.18-r12.apk
2025-09-06 16:20
35K
lshell-doc-0.9.18-r12.apk
2025-09-06 16:20
25K
lshell-0.9.18-r12.apk
2025-09-06 16:20
36K
lsdvd-doc-0.17-r0.apk
2024-10-25 19:01
2.5K
lsdvd-0.17-r0.apk
2024-10-25 19:01
13K
lrcalc-libs-2.1-r1.apk
2024-10-25 19:01
26K
lrcalc-dev-2.1-r1.apk
2024-10-25 19:01
11K
lrcalc-2.1-r1.apk
2024-10-25 19:01
11K
lowjs-doc-1.6.2-r2.apk
2024-10-25 19:01
3.0K
lowjs-1.6.2-r2.apk
2024-10-25 19:01
1.3M
lout-doc-3.42.2-r0.apk
2024-10-25 19:01
453K
lout-3.42.2-r0.apk
2024-10-25 19:01
1.4M
lomiri-weather-app-lang-6.0.2-r0.apk
2025-03-11 12:02
214K
lomiri-weather-app-6.0.2-r0.apk
2025-03-11 12:02
299K
lomiri-url-dispatcher-lang-0.1.4-r0.apk
2025-05-10 03:12
26K
lomiri-url-dispatcher-dev-0.1.4-r0.apk
2025-05-10 03:12
3.3K
lomiri-url-dispatcher-0.1.4-r0.apk
2025-05-10 03:12
38K
lomiri-ui-toolkit-lang-1.3.5902-r0.apk
2026-01-26 13:57
99K
lomiri-ui-toolkit-dev-1.3.5902-r0.apk
2026-01-26 13:57
170K
lomiri-ui-toolkit-1.3.5902-r0.apk
2026-01-26 13:57
1.3M
lomiri-ui-extras-lang-0.8.0-r0.apk
2025-12-09 11:10
52K
lomiri-ui-extras-0.8.0-r0.apk
2025-12-09 11:10
248K
lomiri-trust-store-lang-2.0.2-r14.apk
2025-12-18 14:24
28K
lomiri-trust-store-dev-2.0.2-r14.apk
2025-12-18 14:24
9.1K
lomiri-trust-store-2.0.2-r14.apk
2025-12-18 14:24
941K
lomiri-thumbnailer-doc-3.1.0-r0.apk
2025-12-09 11:10
124K
lomiri-thumbnailer-dev-3.1.0-r0.apk
2025-12-09 11:10
5.1K
lomiri-thumbnailer-3.1.0-r0.apk
2025-12-09 11:10
214K
lomiri-terminal-app-lang-2.0.5-r0.apk
2025-05-10 03:12
91K
lomiri-terminal-app-doc-2.0.5-r0.apk
2025-05-10 03:12
2.7K
lomiri-terminal-app-2.0.5-r0.apk
2025-05-10 03:12
64K
lomiri-telephony-service-lang-0.6.2-r2.apk
2026-01-14 19:45
109K
lomiri-telephony-service-0.6.2-r2.apk
2026-01-14 19:45
1.0M
lomiri-system-settings-lang-1.3.2-r1.apk
2026-01-14 05:56
846K
lomiri-system-settings-1.3.2-r1.apk
2026-01-14 05:56
1.0M
lomiri-sounds-25.01-r0.apk
2025-08-19 20:09
18M
lomiri-settings-components-lang-1.1.3-r0.apk
2025-10-25 20:19
108K
lomiri-settings-components-1.1.3-r0.apk
2025-10-25 20:19
218K
lomiri-schemas-0.1.10-r0.apk
2026-01-25 21:15
10K
lomiri-notifications-1.3.1-r0.apk
2025-01-10 10:09
92K
lomiri-location-service-systemd-3.3.0-r6.apk
2026-01-15 18:17
2.1K
lomiri-location-service-lang-3.3.0-r6.apk
2026-01-15 18:17
26K
lomiri-location-service-doc-3.3.0-r6.apk
2026-01-15 18:17
2.9K
lomiri-location-service-dev-3.3.0-r6.apk
2026-01-15 18:17
30K
lomiri-location-service-3.3.0-r6.apk
2026-01-15 18:17
2.0M
lomiri-libusermetrics-lang-1.4.0-r0.apk
2025-12-09 11:10
45K
lomiri-libusermetrics-doc-1.4.0-r0.apk
2025-12-09 11:10
228K
lomiri-libusermetrics-dev-1.4.0-r0.apk
2025-12-09 11:10
7.9K
lomiri-libusermetrics-1.4.0-r0.apk
2025-12-09 11:10
211K
lomiri-lang-0.5.0-r4.apk
2025-12-18 14:24
269K
lomiri-indicator-network-lang-1.1.2-r0.apk
2025-09-08 01:00
199K
lomiri-indicator-network-doc-1.1.2-r0.apk
2025-09-08 01:00
2.1K
lomiri-indicator-network-dev-1.1.2-r0.apk
2025-09-08 01:00
9.5K
lomiri-indicator-network-1.1.2-r0.apk
2025-09-08 01:00
600K
lomiri-indicator-location-systemd-25.4.22-r1.apk
2025-11-17 13:11
1.8K
lomiri-indicator-location-lang-25.4.22-r1.apk
2025-11-17 13:11
27K
lomiri-indicator-location-25.4.22-r1.apk
2025-11-17 13:11
21K
lomiri-history-service-dev-0.6-r21.apk
2026-01-14 19:45
11K
lomiri-history-service-0.6-r21.apk
2026-01-14 19:45
345K
lomiri-gallery-app-lang-3.0.2-r2.apk
2025-08-19 09:09
106K
lomiri-gallery-app-3.0.2-r2.apk
2025-08-19 09:09
3.7M
lomiri-filemanager-app-lang-1.1.4-r0.apk
2025-08-19 20:09
180K
lomiri-filemanager-app-1.1.4-r0.apk
2025-08-19 20:09
326K
lomiri-download-manager-lang-0.3.0-r0.apk
2025-12-09 11:10
30K
lomiri-download-manager-doc-0.3.0-r0.apk
2025-12-09 11:10
3.4M
lomiri-download-manager-dev-0.3.0-r0.apk
2025-12-09 11:10
17K
lomiri-download-manager-0.3.0-r0.apk
2025-12-09 11:10
588K
lomiri-docviewer-app-lang-3.1.2-r0.apk
2025-08-19 20:09
138K
lomiri-docviewer-app-doc-3.1.2-r0.apk
2025-08-19 20:09
2.0K
lomiri-docviewer-app-3.1.2-r0.apk
2025-08-19 20:09
221K
lomiri-content-hub-lang-2.2.2-r0.apk
2026-01-25 21:15
47K
lomiri-content-hub-doc-2.2.2-r0.apk
2026-01-25 21:15
1.4M
lomiri-content-hub-dev-2.2.2-r0.apk
2026-01-25 21:15
11K
lomiri-content-hub-2.2.2-r0.apk
2026-01-25 21:15
276K
lomiri-clock-app-lang-4.1.1-r0.apk
2025-05-10 03:12
456K
lomiri-clock-app-4.1.1-r0.apk
2025-05-10 03:12
220K
lomiri-calculator-app-lang-4.1.0-r0.apk
2025-08-19 20:09
41K
lomiri-calculator-app-4.1.0-r0.apk
2025-08-19 20:09
381K
lomiri-app-launch-dev-0.1.12-r5.apk
2025-12-18 14:24
20K
lomiri-app-launch-0.1.12-r5.apk
2025-12-18 14:24
325K
lomiri-api-dev-0.2.3-r0.apk
2025-10-25 20:19
32K
lomiri-api-0.2.3-r0.apk
2025-10-25 20:19
31K
lomiri-action-api-dev-1.2.1-r0.apk
2025-10-25 20:19
5.0K
lomiri-action-api-1.2.1-r0.apk
2025-10-25 20:19
77K
lomiri-0.5.0-r4.apk
2025-12-18 14:24
3.9M
lolcat-1.4-r0.apk
2024-10-25 19:01
8.9K
lol-html-dev-1.1.1-r1.apk
2024-10-25 19:01
6.5K
lol-html-1.1.1-r1.apk
2024-10-25 19:01
432K
logtop-libs-0.7-r1.apk
2025-08-09 16:28
14K
logtop-doc-0.7-r1.apk
2025-08-09 16:28
2.8K
logtop-0.7-r1.apk
2025-08-09 16:28
13K
logc-libs-dev-0.1.0-r0.apk
2024-10-25 19:01
5.5K
logc-libs-0.1.0-r0.apk
2024-10-25 19:01
1.5K
logc-libevent-0.1.0-r0.apk
2024-10-25 19:01
3.3K
logc-dev-0.5.0-r1.apk
2025-06-13 14:12
8.6K
logc-czmq-0.1.0-r0.apk
2024-10-25 19:01
3.9K
logc-config-0.5.0-r1.apk
2025-06-13 14:12
4.9K
logc-argp-0.5.0-r1.apk
2025-06-13 14:12
16K
logc-0.5.0-r1.apk
2025-06-13 14:12
8.0K
log4cxx-dev-1.5.0-r0.apk
2026-01-07 00:06
149K
log4cxx-1.5.0-r0.apk
2026-01-07 00:06
517K
log4cpp-dev-1.1.4-r1.apk
2024-10-25 19:01
39K
log4cpp-1.1.4-r1.apk
2024-10-25 19:01
71K
lockrun-1.1.3-r1.apk
2024-10-25 19:01
5.4K
llmnrd-openrc-0.7-r1.apk
2024-10-25 19:01
1.9K
llmnrd-doc-0.7-r1.apk
2024-10-25 19:01
3.0K
llmnrd-0.7-r1.apk
2024-10-25 19:01
16K
lldap-0.6.1-r0.apk
2025-03-14 17:12
9.9M
lkrg-doc-0.9.6-r1.apk
2025-01-09 11:44
22K
lkrg-0.9.6-r1.apk
2025-01-09 11:44
105K
lizardfs-metalogger-openrc-3.13.0-r17.apk
2025-06-19 08:45
1.6K
lizardfs-metalogger-3.13.0-r17.apk
2025-06-19 08:45
126K
lizardfs-master-openrc-3.13.0-r17.apk
2025-06-19 08:45
1.6K
lizardfs-master-3.13.0-r17.apk
2025-06-19 08:45
842K
lizardfs-doc-3.13.0-r17.apk
2025-06-19 08:45
11K
lizardfs-client-3.13.0-r17.apk
2025-06-19 08:45
1.1M
lizardfs-chunkserver-openrc-3.13.0-r17.apk
2025-06-19 08:45
1.7K
lizardfs-chunkserver-3.13.0-r17.apk
2025-06-19 08:45
311K
lizardfs-cgiserv-openrc-3.13.0-r17.apk
2025-06-19 08:45
2.0K
lizardfs-cgiserv-3.13.0-r17.apk
2025-06-19 08:45
7.4K
lizardfs-cgi-3.13.0-r17.apk
2025-06-19 08:45
31K
lizardfs-bash-completion-3.13.0-r17.apk
2025-06-19 08:45
1.9K
lizardfs-3.13.0-r17.apk
2025-06-19 08:45
106K
litterbox-doc-1.9-r2.apk
2025-09-12 22:22
7.2K
litterbox-1.9-r2.apk
2025-09-12 22:22
32K
litehtml-static-0.9-r2.apk
2025-02-22 14:39
511K
litehtml-dev-0.9-r2.apk
2025-02-22 14:39
42K
litehtml-0.9-r2.apk
2025-02-22 14:39
320K
liquid-dsp-dev-1.5.0-r0.apk
2024-10-25 19:01
526K
liquid-dsp-1.5.0-r0.apk
2024-10-25 19:01
353K
liquibase-doc-4.9.1-r0.apk
2024-10-25 19:01
57K
liquibase-4.9.1-r0.apk
2024-10-25 19:01
32M
linuxwave-doc-0.3.0-r0.apk
2025-05-26 18:25
3.3K
linuxwave-0.3.0-r0.apk
2025-05-26 18:25
183K
linuxptp-tz2alt-4.4-r0.apk
2024-11-17 17:29
19K
linuxptp-ts2phc-4.4-r0.apk
2024-11-17 17:29
35K
linuxptp-timemaster-4.4-r0.apk
2024-11-17 17:29
16K
linuxptp-ptp4l-4.4-r0.apk
2024-11-17 17:29
77K
linuxptp-pmc-4.4-r0.apk
2024-11-17 17:29
35K
linuxptp-phc_ctl-4.4-r0.apk
2024-11-17 17:29
9.5K
linuxptp-phc2sys-4.4-r0.apk
2024-11-17 17:29
36K
linuxptp-nsm-4.4-r0.apk
2024-11-17 17:29
32K
linuxptp-hwstamp_ctl-4.4-r0.apk
2024-11-17 17:29
4.3K
linuxptp-doc-4.4-r0.apk
2024-11-17 17:29
38K
linuxptp-4.4-r0.apk
2024-11-17 17:29
1.2K
linuxkit-doc-1.8.2-r2.apk
2026-01-17 22:42
10K
linuxkit-1.8.2-r2.apk
2026-01-17 22:42
14M
linux-timemachine-1.3.2-r0.apk
2024-10-25 19:01
5.1K
linux-gpib-udev-4.3.7-r0.apk
2025-12-06 23:09
2.6K
linux-gpib-doc-4.3.7-r0.apk
2025-12-06 23:09
326K
linux-gpib-dev-4.3.7-r0.apk
2025-12-06 23:09
7.7K
linux-gpib-4.3.7-r0.apk
2025-12-06 23:09
61K
linux-apfs-rw-src-0.3.8-r0.apk
2024-10-25 19:01
197K
linphone-libs-5.3.38-r0.apk
2024-10-25 19:01
2.9M
linphone-dev-5.3.38-r0.apk
2024-10-25 19:01
250K
linphone-5.3.38-r0.apk
2024-10-25 19:01
9.0M
linkquisition-1.6.1-r11.apk
2026-01-17 22:42
13M
linkchecker-pyc-10.6.0-r0.apk
2025-08-10 11:39
254K
linkchecker-doc-10.6.0-r0.apk
2025-08-10 11:39
39K
linkchecker-10.6.0-r0.apk
2025-08-10 11:39
181K
limnoria-pyc-20240828-r0.apk
2024-10-25 19:01
1.2M
limnoria-doc-20240828-r0.apk
2024-10-25 19:01
8.4K
limnoria-20240828-r0.apk
2024-10-25 19:01
1.1M
limkd-doc-0.1.2-r0.apk
2024-10-25 19:01
3.0K
limkd-0.1.2-r0.apk
2024-10-25 19:01
89K
lima-zsh-completion-1.2.1-r2.apk
2026-01-17 22:42
4.0K
lima-guestagent-1.2.1-r2.apk
2026-01-17 22:42
14M
lima-fish-completion-1.2.1-r2.apk
2026-01-17 22:42
4.3K
lima-doc-1.2.1-r2.apk
2026-01-17 22:42
62K
lima-bash-completion-1.2.1-r2.apk
2026-01-17 22:42
6.1K
lima-1.2.1-r2.apk
2026-01-17 22:42
11M
lidarr-openrc-3.1.0.4875-r0.apk
2025-12-13 17:30
2.0K
lidarr-3.1.0.4875-r0.apk
2025-12-13 17:30
21M
licenseheaders-pyc-0.8.8-r4.apk
2024-10-25 19:01
18K
licenseheaders-0.8.8-r4.apk
2024-10-25 19:01
18K
libzvbi-static-0.2.44-r0.apk
2025-03-12 01:07
280K
libzvbi-dev-0.2.44-r0.apk
2025-03-12 01:07
14K
libzvbi-0.2.44-r0.apk
2025-03-12 01:07
217K
libzrtpcpp-dev-4.7.0-r0.apk
2025-01-04 21:55
38K
libzrtpcpp-4.7.0-r0.apk
2025-01-04 21:55
157K
libxo-doc-1.7.5-r0.apk
2025-01-12 22:45
63K
libxo-dev-1.7.5-r0.apk
2025-01-12 22:45
74K
libxo-1.7.5-r0.apk
2025-01-12 22:45
177K
libxml++-dev-5.4.0-r0.apk
2025-02-22 14:39
29K
libxml++-5.4.0-r0.apk
2025-02-22 14:39
63K
libwmiclient-dev-1.3.16-r5.apk
2024-10-25 19:01
1.7K
libwmiclient-1.3.16-r5.apk
2024-10-25 19:01
1.5K
libwhich-1.2.0-r0.apk
2024-10-25 19:01
4.3K
libwbxml-doc-0.11.8-r0.apk
2024-10-25 19:01
28K
libwbxml-dev-0.11.8-r0.apk
2024-10-25 19:01
9.0K
libwbxml-0.11.8-r0.apk
2024-10-25 19:01
76K
libwasmtime-static-39.0.1-r0.apk
2025-12-08 21:02
6.7M
libwasmtime-39.0.1-r0.apk
2025-12-08 21:02
3.9M
libvoikko-doc-4.3.2-r1.apk
2024-10-25 19:01
5.7K
libvoikko-dev-4.3.2-r1.apk
2024-10-25 19:01
9.9K
libvoikko-4.3.2-r1.apk
2024-10-25 19:01
121K
libvmaf-dev-3.0.0-r0.apk
2024-10-25 19:01
215K
libvmaf-3.0.0-r0.apk
2024-10-25 19:01
362K
libvisio2svg-utils-0.5.5-r3.apk
2024-10-25 19:01
116K
libvisio2svg-dev-0.5.5-r3.apk
2024-10-25 19:01
2.9K
libvisio2svg-0.5.5-r3.apk
2024-10-25 19:01
15K
libvdpau-va-gl-0.4.2-r0.apk
2024-10-25 19:01
57K
libvalkey-tls-0.2.1-r0.apk
2025-11-17 11:56
6.3K
libvalkey-dev-0.2.1-r0.apk
2025-11-17 11:56
339K
libvalkey-0.2.1-r0.apk
2025-11-17 11:56
59K
libupstart-2.0.3-r5.apk
2024-10-25 19:01
59K
libuninameslist-doc-20230916-r0.apk
2024-10-25 19:01
2.0K
libuninameslist-dev-20230916-r0.apk
2024-10-25 19:01
3.5K
libuninameslist-20230916-r0.apk
2024-10-25 19:01
376K
libuecc-dev-7-r4.apk
2025-03-03 16:06
4.6K
libuecc-7-r4.apk
2025-03-03 16:06
9.2K
libucl-doc-0.9.0-r0.apk
2024-10-25 19:01
8.9K
libucl-dev-0.9.0-r0.apk
2024-10-25 19:01
81K
libucl-0.9.0-r0.apk
2024-10-25 19:01
56K
libtsm-dev-4.3.0-r0.apk
2026-01-09 16:03
8.1K
libtsm-4.3.0-r0.apk
2026-01-09 16:03
25K
libtins-doc-4.5-r2.apk
2025-10-15 08:21
2.3K
libtins-dev-4.5-r2.apk
2025-10-15 08:21
138K
libtins-4.5-r2.apk
2025-10-15 08:21
323K
libtcmu-dev-1.6.0-r6.apk
2024-10-25 19:01
1.5K
libtcmu-1.6.0-r6.apk
2024-10-25 19:01
36K
libstirshaken-tools-0_git20240208-r4.apk
2025-04-28 19:57
157K
libstirshaken-dev-0_git20240208-r4.apk
2025-04-28 19:57
78K
libstirshaken-0_git20240208-r4.apk
2025-04-28 19:57
52K
libsquish-libs-1.15-r0.apk
2025-12-29 17:38
20K
libsquish-doc-1.15-r0.apk
2025-12-29 17:38
2.3K
libsquish-dev-1.15-r0.apk
2025-12-29 17:38
4.8K
libsquish-1.15-r0.apk
2025-12-29 17:38
1.2K
libsirocco-dev-2.1.1-r0.apk
2025-08-31 17:36
2.2K
libsirocco-2.1.1-r0.apk
2025-08-31 17:36
62K
libsimplebluez-0.10.4-r0.apk
2026-01-13 03:12
180K
libsimpleble-c-0.10.4-r0.apk
2026-01-13 03:12
14K
libsimpleble-0.10.4-r0.apk
2026-01-13 03:12
391K
libsigrokdecode-dev-0.5.3-r4.apk
2024-10-25 19:01
39K
libsigrokdecode-0.5.3-r4.apk
2024-10-25 19:01
335K
libsigrok-dev-0.5.2-r3.apk
2024-10-25 19:01
31K
libsigrok-0.5.2-r3.apk
2024-10-25 19:01
473K
libshadowsocks-libev-3.3.5-r4.apk
2024-10-25 19:01
48K
libserialport-dev-0.1.1-r1.apk
2024-10-25 19:01
35K
libserialport-0.1.1-r1.apk
2024-10-25 19:01
20K
libsemigroups-static-2.7.3-r1.apk
2024-12-14 21:23
1.6M
libsemigroups-dev-2.7.3-r1.apk
2024-12-14 21:23
359K
libsemigroups-2.7.3-r1.apk
2024-12-14 21:23
799K
libsemanage-doc-3.6-r1.apk
2024-10-25 19:01
23K
libsemanage-dev-3.6-r1.apk
2024-10-25 19:01
141K
libsemanage-3.6-r1.apk
2024-10-25 19:01
93K
libsds-dev-2.0.0-r1.apk
2024-10-25 19:01
3.8K
libsds-2.0.0-r1.apk
2024-10-25 19:01
9.7K
libsbsms-dev-2.3.0-r0.apk
2024-10-25 19:01
120K
libsbsms-2.3.0-r0.apk
2024-10-25 19:01
102K
libretro-yabause-0_git20210411-r0.apk
2024-10-25 19:01
498K
libretro-xrick-0_git20220331-r0.apk
2024-10-25 19:01
118K
libretro-tyrquake-0_git20220409-r0.apk
2024-10-25 19:01
387K
libretro-theodore-3.1-r0.apk
2024-10-25 19:01
873K
libretro-snes9x-0_git20240819-r0.apk
2024-10-25 19:01
792K
libretro-scummvm-0_git20210325-r0.apk
2024-10-25 19:01
20M
libretro-ppsspp-0_git20210516-r15.apk
2025-04-10 21:03
2.3M
libretro-pocketcdg-0_git20220327-r0.apk
2024-10-25 19:01
84K
libretro-picodrive-0_git20220405-r0.apk
2024-10-25 19:01
587K
libretro-pcsx-rearmed-0_git20220409-r0.apk
2024-10-25 19:01
540K
libretro-pcem-0_git20180812-r0.apk
2024-10-25 19:01
937K
libretro-parallel-n64-0_git20220406-r0.apk
2024-10-25 19:01
806K
libretro-opera-0_git20211214-r0.apk
2024-10-25 19:01
179K
libretro-openlara-0_git20210121-r0.apk
2024-10-25 19:01
516K
libretro-nxengine-0_git20220301-r0.apk
2024-10-25 19:01
288K
libretro-neocd-0_git20220325-r1.apk
2024-10-25 19:01
453K
libretro-mu-0_git20220317-r0.apk
2024-10-25 19:01
176K
libretro-mame2003-0_git20240904-r0.apk
2024-10-25 19:01
6.8M
libretro-mame2000-0_git20240701-r0.apk
2024-10-25 19:01
2.8M
libretro-gw-0_git20220410-r0.apk
2024-10-25 19:01
187K
libretro-gong-0_git20220319-r0.apk
2024-10-25 19:01
9.0K
libretro-gme-0_git20240628-r0.apk
2024-10-25 19:01
185K
libretro-genesis-plus-gx-0_git20230503-r0.apk
2024-10-25 19:01
706K
libretro-fuse-0_git20220417-r0.apk
2024-10-25 19:01
854K
libretro-frodo-0_git20221221-r0.apk
2024-10-25 19:01
161K
libretro-freeintv-0_git20220319-r0.apk
2024-10-25 19:01
34K
libretro-flycast-0_git20220406-r4.apk
2025-12-20 15:23
1.5M
libretro-fbneo-0_git20220416-r0.apk
2024-10-25 19:01
12M
libretro-dolphin-0_git20220407-r2.apk
2024-10-25 19:01
3.1M
libretro-dinothawr-0_git20220401-r0.apk
2024-10-25 19:01
136K
libretro-daphne-0_git20210108-r2.apk
2024-10-25 19:01
584K
libretro-crocods-0_git20210314-r1.apk
2024-10-25 19:01
277K
libretro-cap32-0_git20220419-r0.apk
2024-10-25 19:01
300K
libretro-cannonball-0_git20220309-r6.apk
2024-10-25 19:01
242K
libretro-bluemsx-0_git20240808-r0.apk
2024-10-25 19:01
639K
libretro-blastem-0_git20210810-r0.apk
2024-10-25 19:01
241K
libretro-beetle-supergrafx-0_git20220218-r0.apk
2024-10-25 19:01
439K
libretro-beetle-saturn-0_git20220417-r0.apk
2024-10-25 19:01
1.5M
libretro-beetle-pcfx-0_git20220409-r0.apk
2024-10-25 19:01
318K
libretro-beetle-pce-fast-0_git20220205-r0.apk
2024-10-25 19:01
436K
libretro-atari800-0_git20240924-r0.apk
2024-10-25 19:01
272K
libresprite-doc-1.2-r0.apk
2025-04-13 22:15
15K
libresprite-1.2-r0.apk
2025-04-13 22:15
15M
librespot-openrc-0.8.0-r0.apk
2025-11-20 10:14
1.9K
librespot-0.8.0-r0.apk
2025-11-20 10:14
2.3M
libreoffice-voikko-5.0_git20200127-r0.apk
2024-10-25 19:01
45K
libre-dev-4.4.0-r0.apk
2026-01-24 12:59
470K
libre-4.4.0-r0.apk
2026-01-24 12:59
287K
libqtdbustest-0.4.0-r0.apk
2025-09-03 21:08
30K
libqtdbusmock-0.9.1-r2.apk
2025-02-22 14:39
67K
libqofono-qt6-0.124-r0.apk
2025-01-10 17:03
414K
libqofono-qt5-0.124-r0.apk
2025-01-10 17:03
278K
libqofono-dev-0.124-r0.apk
2025-01-10 17:03
42K
libqofono-0.124-r0.apk
2025-01-10 17:03
1.2K
libopensles-standalone-dev-0_git20250913-r0.apk
2025-09-22 13:31
1.6K
libopensles-standalone-dbg-0_git20250913-r0.apk
2025-09-22 13:31
244K
libopensles-standalone-0_git20250913-r0.apk
2025-09-22 13:31
37K
liboggz-doc-1.1.1-r2.apk
2024-10-25 19:01
134K
liboggz-dev-1.1.1-r2.apk
2024-10-25 19:01
176K
liboggz-1.1.1-r2.apk
2024-10-25 19:01
96K
libofx-tools-0.10.9-r1.apk
2024-10-25 19:01
103K
libofx-dev-0.10.9-r1.apk
2024-10-25 19:01
20K
libofx-0.10.9-r1.apk
2024-10-25 19:01
61K
libnxml-dev-0.18.3-r0.apk
2024-10-25 19:01
27K
libnxml-0.18.3-r0.apk
2024-10-25 19:01
19K
libnih-doc-1.0.3-r7.apk
2024-10-25 19:01
2.7K
libnih-dev-1.0.3-r7.apk
2024-10-25 19:01
113K
libnih-1.0.3-r7.apk
2024-10-25 19:01
113K
libnfcdef-dev-1.1.0-r0.apk
2026-01-02 17:16
6.0K
libnfcdef-1.1.0-r0.apk
2026-01-02 17:16
13K
libnest2d-dev-0.4-r7.apk
2025-02-06 04:45
70K
libnest2d-0.4-r7.apk
2025-02-06 04:45
1.2K
libneo4j-client-dev-2.2.0-r3.apk
2024-10-25 19:01
108K
libneo4j-client-2.2.0-r3.apk
2024-10-25 19:01
70K
libmysofa-tools-1.3.2-r0.apk
2024-10-25 19:01
1.1M
libmysofa-dev-1.3.2-r0.apk
2024-10-25 19:01
7.0K
libmysofa-1.3.2-r0.apk
2024-10-25 19:01
25K
libmygpo-qt-dev-1.1.0-r2.apk
2024-10-25 19:01
12K
libmygpo-qt-1.1.0-r2.apk
2024-10-25 19:01
82K
libmustache-0.5.0-r1.apk
2024-10-25 19:01
81K
libmrss-dev-0.19.2-r1.apk
2024-10-25 19:01
30K
libmrss-0.19.2-r1.apk
2024-10-25 19:01
20K
libmpfi-static-1.5.4-r2.apk
2024-10-25 19:01
51K
libmpfi-doc-1.5.4-r2.apk
2024-10-25 19:01
19K
libmpfi-dev-1.5.4-r2.apk
2024-10-25 19:01
5.4K
libmpfi-1.5.4-r2.apk
2024-10-25 19:01
34K
libmhash-doc-0.9.9.9-r3.apk
2024-10-25 19:01
8.2K
libmhash-dev-0.9.9.9-r3.apk
2024-10-25 19:01
105K
libmhash-0.9.9.9-r3.apk
2024-10-25 19:01
94K
libmdf-dev-1.0.29-r0.apk
2024-10-25 19:01
14K
libmdf-1.0.29-r0.apk
2024-10-25 19:01
33K
libmdbx-doc-0.11.8-r0.apk
2024-10-25 19:01
8.9K
libmdbx-dev-0.11.8-r0.apk
2024-10-25 19:01
93K
libmdbx-dbg-0.11.8-r0.apk
2024-10-25 19:01
2.6M
libmdbx-0.11.8-r0.apk
2024-10-25 19:01
714K
liblastfm-qt-dev-1.1.10_git20190823-r3.apk
2024-10-25 19:01
20K
liblastfm-qt-1.1.10_git20190823-r3.apk
2024-10-25 19:01
160K
libjodycode-doc-3.1.1-r0.apk
2024-10-25 19:01
3.7K
libjodycode-dev-3.1.1-r0.apk
2024-10-25 19:01
4.2K
libjodycode-3.1.1-r0.apk
2024-10-25 19:01
7.3K
libiscsi-utils-1.19.0-r2.apk
2024-10-25 19:01
86K
libiscsi-static-1.19.0-r2.apk
2024-10-25 19:01
71K
libiscsi-doc-1.19.0-r2.apk
2024-10-25 19:01
9.3K
libiscsi-dev-1.19.0-r2.apk
2024-10-25 19:01
20K
libiscsi-1.19.0-r2.apk
2024-10-25 19:01
60K
libirecovery-progs-1.3.0-r0.apk
2025-10-06 17:37
8.0K
libirecovery-dev-1.3.0-r0.apk
2025-10-06 17:37
4.2K
libirecovery-1.3.0-r0.apk
2025-10-06 17:37
24K
libinfnoise-0.3.3-r0.apk
2025-05-26 08:58
13K
libiio-tools-0.25-r2.apk
2024-10-25 19:01
72K
libiio-pyc-0.25-r2.apk
2024-10-25 19:01
21K
libiio-doc-0.25-r2.apk
2024-10-25 19:01
18K
libiio-dev-0.25-r2.apk
2024-10-25 19:01
13K
libiio-0.25-r2.apk
2024-10-25 19:01
51K
libigraph-dev-1.0.1-r0.apk
2025-12-30 13:36
90K
libigraph-1.0.1-r0.apk
2025-12-30 13:36
1.8M
libideviceactivation-doc-1.1.1-r5.apk
2024-10-30 22:44
2.2K
libideviceactivation-dev-1.1.1-r5.apk
2024-10-30 22:44
3.3K
libideviceactivation-1.1.1-r5.apk
2024-10-30 22:44
16K
libibumad-doc-1.3.10.2-r3.apk
2024-10-25 19:01
23K
libibumad-dev-1.3.10.2-r3.apk
2024-10-25 19:01
7.6K
libibumad-1.3.10.2-r3.apk
2024-10-25 19:01
16K
libhwpwm-doc-0.4.4-r0.apk
2024-10-25 19:01
13K
libhwpwm-dev-0.4.4-r0.apk
2024-10-25 19:01
5.8K
libhwpwm-0.4.4-r0.apk
2024-10-25 19:01
5.4K
libhomfly-dev-1.02_p6-r1.apk
2024-10-25 19:01
19K
libhomfly-1.02_p6-r1.apk
2024-10-25 19:01
16K
libguestfs-static-1.56.1-r0.apk
2025-07-22 22:16
444K
libguestfs-doc-1.56.1-r0.apk
2025-07-22 22:16
569K
libguestfs-dev-1.56.1-r0.apk
2025-07-22 22:16
29K
libguestfs-1.56.1-r0.apk
2025-07-22 22:16
326K
libgrapheme-doc-2.0.2-r0.apk
2025-07-22 22:16
21K
libgrapheme-dev-2.0.2-r0.apk
2025-07-22 22:16
31K
libgrapheme-2.0.2-r0.apk
2025-07-22 22:16
25K
libglib-testing-doc-0.1.1-r0.apk
2025-05-10 03:12
25K
libglib-testing-dev-0.1.1-r0.apk
2025-05-10 03:12
5.5K
libglib-testing-0.1.1-r0.apk
2025-05-10 03:12
12K
libgivaro-static-4.2.0-r2.apk
2024-10-25 19:01
90K
libgivaro-dev-4.2.0-r2.apk
2024-10-25 19:01
244K
libgivaro-4.2.0-r2.apk
2024-10-25 19:01
75K
libgdcm-3.2.2-r3.apk
2025-12-02 06:13
2.6M
libfort-dev-0.4.2-r0.apk
2024-10-25 19:01
17K
libfort-0.4.2-r0.apk
2024-10-25 19:01
31K
libfoma-0.10.0_git20240712-r0.apk
2024-10-25 19:01
104K
libfishsound-doc-1.0.0-r1.apk
2024-10-25 19:01
75K
libfishsound-dev-1.0.0-r1.apk
2024-10-25 19:01
62K
libfishsound-1.0.0-r1.apk
2024-10-25 19:01
9.6K
libettercap-0.8.3.1-r3.apk
2024-10-25 19:01
195K
libetebase-dev-0.5.8-r0.apk
2025-09-27 19:40
11K
libetebase-0.5.8-r0.apk
2025-09-27 19:40
830K
liberasurecode-dev-1.6.3-r1.apk
2024-10-25 19:01
18K
liberasurecode-1.6.3-r1.apk
2024-10-25 19:01
41K
libemf2svg-utils-1.1.0-r3.apk
2025-10-06 11:28
17K
libemf2svg-1.1.0-r3.apk
2025-10-06 11:28
154K
libecap-static-1.0.1-r1.apk
2024-10-25 19:01
16K
libecap-dev-1.0.1-r1.apk
2024-10-25 19:01
11K
libecap-1.0.1-r1.apk
2024-10-25 19:01
13K
libeantic-dev-2.1.0-r2.apk
2025-11-26 08:24
18K
libeantic-2.1.0-r2.apk
2025-11-26 08:24
77K
libdng-utils-0.2.1-r0.apk
2024-12-27 22:09
5.8K
libdng-doc-0.2.1-r0.apk
2024-12-27 22:09
4.2K
libdng-dev-0.2.1-r0.apk
2024-12-27 22:09
3.2K
libdng-0.2.1-r0.apk
2024-12-27 22:09
11K
libdcmtk-3.7.0-r0.apk
2025-12-28 20:54
6.5M
libdbusaccess-dev-1.0.20-r1.apk
2025-08-09 16:27
5.0K
libdbusaccess-1.0.20-r1.apk
2025-08-09 16:27
17K
libcyaml-static-1.4.2-r0.apk
2024-10-25 19:01
25K
libcyaml-doc-1.4.2-r0.apk
2024-10-25 19:01
8.6K
libcyaml-dev-1.4.2-r0.apk
2024-10-25 19:01
13K
libcyaml-1.4.2-r0.apk
2024-10-25 19:01
20K
libctl-doc-4.5.1-r1.apk
2024-10-25 19:01
3.0K
libctl-dev-4.5.1-r1.apk
2024-10-25 19:01
39K
libctl-4.5.1-r1.apk
2024-10-25 19:01
97K
libcotp-dev-3.1.1-r0.apk
2025-10-04 11:04
2.5K
libcotp-3.1.1-r0.apk
2025-10-04 11:04
7.9K
libcorkipset-tools-1.1.1-r4.apk
2024-10-25 19:01
11K
libcorkipset-dev-1.1.1-r4.apk
2024-10-25 19:01
8.1K
libcorkipset-1.1.1-r4.apk
2024-10-25 19:01
13K
libcork-tools-0.15.0-r7.apk
2024-10-25 19:01
4.4K
libcork-dev-0.15.0-r7.apk
2024-10-25 19:01
30K
libcork-0.15.0-r7.apk
2024-10-25 19:01
33K
libcob4-3.2-r0.apk
2025-07-28 14:02
206K
libcli-1.10.7-r0.apk
2024-10-25 19:01
30K
libbsoncxx-dev-3.8.0-r0.apk
2024-10-25 19:01
39K
libbsoncxx-3.8.0-r0.apk
2024-10-25 19:01
43K
libbloom-dev-2.0-r0.apk
2024-10-25 19:01
3.5K
libbloom-2.0-r0.apk
2024-10-25 19:01
5.5K
libblastrampoline-dev-5.2.0-r0.apk
2024-10-25 19:01
96K
libblastrampoline-5.2.0-r0.apk
2024-10-25 19:01
330K
libbamf-doc-0.5.6-r1.apk
2024-10-25 19:01
31K
libbamf-dev-0.5.6-r1.apk
2024-10-25 19:01
6.4K
libbamf-0.5.6-r1.apk
2024-10-25 19:01
149K
libb64-doc-2.0.0.1-r0.apk
2024-10-25 19:01
8.0K
libb64-dev-2.0.0.1-r0.apk
2024-10-25 19:01
5.7K
libb64-2.0.0.1-r0.apk
2024-10-25 19:01
4.4K
libaudec-tools-0.3.4-r3.apk
2024-10-25 19:01
28K
libaudec-static-0.3.4-r3.apk
2024-10-25 19:01
31K
libaudec-dev-0.3.4-r3.apk
2024-10-25 19:01
4.3K
libaudec-0.3.4-r3.apk
2024-10-25 19:01
27K
libantlr4-dev-4.13.2-r0.apk
2024-12-12 11:22
936K
libantlr4-4.13.2-r0.apk
2024-12-12 11:22
462K
libantlr3c-dev-3.4-r3.apk
2024-10-25 19:01
58K
libantlr3c-3.4-r3.apk
2024-10-25 19:01
50K
libandroidfw-dev-0_git20251009-r1.apk
2026-01-14 05:56
7.1K
libandroidfw-0_git20251009-r1.apk
2026-01-14 05:56
383K
libabigail-tools-2.8-r0.apk
2025-11-02 19:38
123K
libabigail-doc-2.8-r0.apk
2025-11-02 19:38
77K
libabigail-dev-2.8-r0.apk
2025-11-02 19:38
1.5M
libabigail-bash-completion-2.8-r0.apk
2025-11-02 19:38
2.8K
libabigail-2.8-r0.apk
2025-11-02 19:38
1.0M
lgogdownloader-doc-3.18-r0.apk
2025-12-31 21:50
8.5K
lgogdownloader-3.18-r0.apk
2025-12-31 21:50
360K
lfm-pyc-3.1-r4.apk
2024-10-25 19:01
134K
lfm-doc-3.1-r4.apk
2024-10-25 19:01
2.8K
lfm-3.1-r4.apk
2024-10-25 19:01
88K
levmar-dev-2.6-r0.apk
2024-10-25 19:01
47K
leptosfmt-doc-0.1.33-r0.apk
2025-03-25 15:30
6.0K
leptosfmt-0.1.33-r0.apk
2025-03-25 15:30
1.0M
lemmy-ui-openrc-0.19.12-r0.apk
2025-06-23 04:46
2.1K
lemmy-ui-0.19.12-r0.apk
2025-06-23 04:46
52M
lemmy-openrc-0.19.12-r1.apk
2025-10-14 14:16
1.9K
lemmy-localdb-0.19.12-r1.apk
2025-10-14 14:16
2.4K
lemmy-0.19.12-r1.apk
2025-10-14 14:16
12M
legume-doc-1.4.2-r15.apk
2026-01-17 22:42
12K
legume-1.4.2-r15.apk
2026-01-17 22:42
1.6M
lefthook-doc-2.0.4-r2.apk
2026-01-17 22:42
2.2K
lefthook-2.0.4-r2.apk
2026-01-17 22:42
6.0M
ledmon-doc-1.0.0-r0.apk
2024-10-25 19:01
14K
ledmon-1.0.0-r0.apk
2024-10-25 19:01
79K
ldapdomaindump-pyc-0.10.0-r0.apk
2025-07-30 19:18
30K
ldapdomaindump-0.10.0-r0.apk
2025-07-30 19:18
18K
lcalc-libs-2.1.0-r0.apk
2025-05-03 10:05
219K
lcalc-doc-2.1.0-r0.apk
2025-05-03 10:05
437K
lcalc-dev-2.1.0-r0.apk
2025-05-03 10:05
51K
lcalc-2.1.0-r0.apk
2025-05-03 10:05
205K
lbb-doc-0.10.4-r2.apk
2026-01-17 22:42
20K
lbb-0.10.4-r2.apk
2026-01-17 22:42
3.3M
laze-zsh-completion-0.1.38-r0.apk
2025-07-20 20:22
3.7K
laze-fish-completion-0.1.38-r0.apk
2025-07-20 20:22
3.4K
laze-doc-0.1.38-r0.apk
2025-07-20 20:22
3.6K
laze-bash-completion-0.1.38-r0.apk
2025-07-20 20:22
3.1K
laze-0.1.38-r0.apk
2025-07-20 20:22
1.1M
lavacli-pyc-2.4-r0.apk
2025-06-15 03:57
88K
lavacli-doc-2.4-r0.apk
2025-06-15 03:57
34K
lavacli-2.4-r0.apk
2025-06-15 03:57
49K
kumactl-zsh-completion-2.10.1-r7.apk
2026-01-17 22:42
4.0K
kumactl-fish-completion-2.10.1-r7.apk
2026-01-17 22:42
4.3K
kumactl-bash-completion-2.10.1-r7.apk
2026-01-17 22:42
10K
kumactl-2.10.1-r7.apk
2026-01-17 22:42
27M
kuma-dp-openrc-2.10.1-r7.apk
2026-01-17 22:42
2.1K
kuma-dp-2.10.1-r7.apk
2026-01-17 22:42
24M
kuma-cp-openrc-2.10.1-r7.apk
2026-01-17 22:42
2.1K
kuma-cp-2.10.1-r7.apk
2026-01-17 22:42
30M
kubetail-0.11.0-r0.apk
2026-01-22 03:12
33M
kubesplit-pyc-0.3.3-r1.apk
2024-10-25 19:01
13K
kubesplit-0.3.3-r1.apk
2024-10-25 19:01
13K
kubeseal-doc-0.34.0-r0.apk
2026-01-18 17:59
5.5K
kubeseal-0.34.0-r0.apk
2026-01-18 17:59
12M
kubepug-zsh-completion-1.7.1-r16.apk
2026-01-17 22:42
4.0K
kubepug-fish-completion-1.7.1-r16.apk
2026-01-17 22:42
4.3K
kubepug-bash-completion-1.7.1-r16.apk
2026-01-17 22:42
5.1K
kubepug-1.7.1-r16.apk
2026-01-17 22:42
17M
kubectl-oidc_login-1.34.2-r2.apk
2026-01-17 22:42
5.8M
kubectl-krew-0.4.5-r8.apk
2026-01-17 22:42
4.8M
kubeconform-0.7.0-r4.apk
2026-01-17 22:42
3.8M
kube-no-trouble-0.7.3-r11.apk
2026-01-17 22:42
14M
ktx-libs-4.3.2-r1.apk
2025-06-10 02:57
1.4M
ktx-dev-4.3.2-r1.apk
2025-06-10 02:57
29K
ktx-4.3.2-r1.apk
2025-06-10 02:57
1.3M
kronosnet-doc-1.32-r0.apk
2025-12-27 10:37
108K
kronosnet-dev-1.32-r0.apk
2025-12-27 10:37
2.7M
kronosnet-1.32-r0.apk
2025-12-27 10:37
71K
krita-plugin-gmic-3.2.4.1-r3.apk
2024-10-25 19:01
2.8M
kraftkit-zsh-completion-0.12.3-r2.apk
2026-01-17 22:42
4.0K
kraftkit-fish-completion-0.12.3-r2.apk
2026-01-17 22:42
4.3K
kraftkit-doc-0.12.3-r2.apk
2026-01-17 22:42
73K
kraftkit-bash-completion-0.12.3-r2.apk
2026-01-17 22:42
6.1K
kraftkit-0.12.3-r2.apk
2026-01-17 22:42
31M
kpatch-systemd-0.9.11-r1.apk
2025-11-17 13:11
1.8K
kpatch-doc-0.9.11-r1.apk
2025-11-17 13:11
3.5K
kpatch-0.9.11-r1.apk
2025-11-17 13:11
131K
kotlin-language-server-1.3.13-r0.apk
2025-04-03 00:11
83M
kops-1.28.4-r16.apk
2026-01-17 22:42
36M
kopia-zsh-completion-0.22.3-r1.apk
2026-01-17 22:42
1.8K
kopia-bash-completion-0.22.3-r1.apk
2026-01-17 22:42
1.8K
kopia-0.22.3-r1.apk
2026-01-17 22:42
17M
kontainer-1.0.1-r0.apk
2025-06-16 23:00
193K
kondo-zsh-completion-0.8-r0.apk
2024-10-25 19:01
2.5K
kondo-fish-completion-0.8-r0.apk
2024-10-25 19:01
2.1K
kondo-bash-completion-0.8-r0.apk
2024-10-25 19:01
2.1K
kondo-0.8-r0.apk
2024-10-25 19:01
692K
kompose-zsh-completion-1.31.2-r16.apk
2026-01-17 22:42
6.8K
kompose-fish-completion-1.31.2-r16.apk
2026-01-17 22:42
4.4K
kompose-bash-completion-1.31.2-r16.apk
2026-01-17 22:42
5.6K
kompose-1.31.2-r16.apk
2026-01-17 22:42
7.5M
komikku-pyc-1.85.0-r0.apk
2025-08-22 08:26
798K
komikku-lang-1.85.0-r0.apk
2025-08-22 08:26
284K
komikku-1.85.0-r0.apk
2025-08-22 08:26
444K
kodaskanna-lang-0.2.2-r0.apk
2025-01-20 08:16
23K
kodaskanna-0.2.2-r0.apk
2025-01-20 08:16
51K
ko-zsh-completion-0.17.1-r11.apk
2026-01-17 22:42
4.0K
ko-fish-completion-0.17.1-r11.apk
2026-01-17 22:42
4.3K
ko-bash-completion-0.17.1-r11.apk
2026-01-17 22:42
5.0K
ko-0.17.1-r11.apk
2026-01-17 22:42
11M
knxd-dev-0.14.61-r1.apk
2024-12-14 21:23
24K
knxd-0.14.61-r1.apk
2024-12-14 21:23
397K
knative-client-zsh-completion-1.19.6-r2.apk
2026-01-17 22:42
4.0K
knative-client-fish-completion-1.19.6-r2.apk
2026-01-17 22:42
4.3K
knative-client-bash-completion-1.19.6-r2.apk
2026-01-17 22:42
10K
knative-client-1.19.6-r2.apk
2026-01-17 22:42
24M
kmscon-systemd-9.2.1-r0.apk
2026-01-09 16:03
2.7K
kmscon-doc-9.2.1-r0.apk
2026-01-09 16:03
11K
kmscon-9.2.1-r0.apk
2026-01-09 16:03
864K
klong-20221212-r0.apk
2025-06-07 15:16
333K
klevernotes-lang-1.1.0-r0.apk
2024-10-25 19:01
142K
klevernotes-1.1.0-r0.apk
2024-10-25 19:01
2.3M
kjv-0_git20221103-r0.apk
2024-10-25 19:01
1.5M
kismet-nxp-kw41z-0.202509.1-r0.apk
2025-10-09 07:23
46K
kismet-nrf-51822-0.202509.1-r0.apk
2025-10-09 07:23
44K
kismet-logtools-0.202509.1-r0.apk
2025-10-09 07:23
1.1M
kismet-linux-wifi-0.202509.1-r0.apk
2025-10-09 07:23
65K
kismet-linux-bluetooth-0.202509.1-r0.apk
2025-10-09 07:23
46K
kismet-0.202509.1-r0.apk
2025-10-09 07:23
12M
kirc-doc-0.3.3-r0.apk
2025-02-22 15:08
2.8K
kirc-0.3.3-r0.apk
2025-02-22 15:08
15K
kine-doc-0.10.1-r19.apk
2026-01-17 22:42
5.2K
kine-0.10.1-r19.apk
2026-01-17 22:42
8.2M
kimchi-pyc-3.0.0-r8.apk
2025-02-22 14:38
476K
kimchi-lang-3.0.0-r8.apk
2025-02-22 14:38
172K
kimchi-3.0.0-r8.apk
2025-02-22 14:38
529K
kile-lang-3.0_beta4-r0.apk
2025-10-27 14:05
2.7M
kile-doc-3.0_beta4-r0.apk
2025-10-27 14:05
4.6M
kile-3.0_beta4-r0.apk
2025-10-27 14:05
2.3M
kiesel-doc-0_git20260112-r0.apk
2026-01-12 23:10
3.7K
kiesel-0_git20260112-r0.apk
2026-01-12 23:10
5.9M
khronos-lang-4.0.1-r0.apk
2024-10-25 19:01
26K
khronos-4.0.1-r0.apk
2024-10-25 19:01
53K
khinsider-2.0.7-r26.apk
2026-01-17 22:42
3.6M
kfc-0.1.4-r0.apk
2024-10-25 19:01
58K
keystone-python-pyc-0.9.2-r6.apk
2024-10-25 19:01
9.7K
keystone-python-0.9.2-r6.apk
2024-10-25 19:01
1.6M
keystone-dev-0.9.2-r6.apk
2024-10-25 19:01
7.3K
keystone-0.9.2-r6.apk
2024-10-25 19:01
1.3M
keydb-openrc-6.3.4-r0.apk
2024-10-25 19:01
2.6K
keydb-cli-6.3.4-r0.apk
2024-10-25 19:01
367K
keydb-benchmark-6.3.4-r0.apk
2024-10-25 19:01
372K
keydb-6.3.4-r0.apk
2024-10-25 19:01
1.1M
keyboard-backlight-1.4.0_git20220127-r0.apk
2026-01-24 19:30
132K
keybase-client-6.2.8-r16.apk
2026-01-17 22:42
19M
kew-doc-3.7.3-r0.apk
2026-01-07 00:06
3.7K
kew-3.7.3-r0.apk
2026-01-07 00:06
583K
kerberoast-pyc-0.2.0-r2.apk
2025-05-29 12:00
15K
kerberoast-0.2.0-r2.apk
2025-05-29 12:00
9.3K
keepsecret-lang-1.0.0-r0.apk
2025-12-15 16:22
27K
keepsecret-1.0.0-r0.apk
2025-12-15 16:22
134K
kdiskmark-lang-3.2.0-r0.apk
2025-06-30 16:46
32K
kdiskmark-3.2.0-r0.apk
2025-06-30 16:46
171K
kcbench-doc-0.9.14-r0.apk
2026-01-07 16:37
20K
kcbench-0.9.14-r0.apk
2026-01-07 16:37
37K
kbs2-zsh-completion-0.7.3-r0.apk
2025-07-30 07:28
4.0K
kbs2-fish-completion-0.7.3-r0.apk
2025-07-30 07:28
3.4K
kbs2-bash-completion-0.7.3-r0.apk
2025-07-30 07:28
3.2K
kbs2-0.7.3-r0.apk
2025-07-30 07:28
1.2M
katarakt-0.3-r0.apk
2026-01-05 20:12
118K
katana-doc-1.4.0-r0.apk
2026-01-22 03:12
2.3K
katana-1.4.0-r0.apk
2026-01-22 03:12
17M
kapow-0.7.1-r19.apk
2026-01-17 22:42
3.8M
kanidm-zsh-completion-1.8.5-r1.apk
2026-01-11 18:31
37K
kanidm-unixd-clients-1.8.5-r1.apk
2026-01-11 18:31
8.1M
kanidm-server-1.8.5-r1.apk
2026-01-11 18:31
15M
kanidm-openrc-1.8.5-r1.apk
2026-01-11 18:31
2.1K
kanidm-clients-1.8.5-r1.apk
2026-01-11 18:31
3.6M
kanidm-bash-completion-1.8.5-r1.apk
2026-01-11 18:31
27K
kanidm-1.8.5-r1.apk
2026-01-11 18:31
1.3K
kabmat-doc-2.7.0-r0.apk
2024-10-25 19:01
3.5K
kabmat-2.7.0-r0.apk
2024-10-25 19:01
57K
k3sup-zsh-completion-0.13.6-r11.apk
2026-01-17 22:42
4.0K
k3sup-fish-completion-0.13.6-r11.apk
2026-01-17 22:42
4.3K
k3sup-bash-completion-0.13.6-r11.apk
2026-01-17 22:42
5.0K
k3sup-0.13.6-r11.apk
2026-01-17 22:42
2.8M
k2-0_git20250903-r0.apk
2026-01-09 22:13
72K
jwt-cli-6.2.0-r0.apk
2024-12-14 18:18
1.0M
jupyterlab3-3.6.8-r1.apk
2025-08-09 16:27
14M
junit2html-pyc-31.0.2-r0.apk
2024-10-25 19:01
24K
junit2html-31.0.2-r0.apk
2024-10-25 19:01
17K
jsonnet-language-server-0.16.0-r2.apk
2026-01-17 22:42
4.7M
jsonnet-bundler-0.6.0-r11.apk
2026-01-17 22:42
3.4M
json2tsv-jaq-doc-1.2-r0.apk
2024-10-25 19:01
2.3K
json2tsv-jaq-1.2-r0.apk
2024-10-25 19:01
1.9K
json2tsv-doc-1.2-r0.apk
2024-10-25 19:01
5.2K
json2tsv-1.2-r0.apk
2024-10-25 19:01
6.4K
jsmn-1.1.0-r2.apk
2024-10-25 19:01
4.7K
jrsonnet-cli-0.4.2-r1.apk
2024-10-25 19:01
560K
jreleaser-doc-1.22.0-r0.apk
2025-12-31 15:51
5.9K
jreleaser-1.22.0-r0.apk
2025-12-31 15:51
41M
jotdown-0.7.0-r0.apk
2025-03-12 01:07
223K
jhead-doc-3.08-r0.apk
2024-10-25 19:01
7.9K
jhead-3.08-r0.apk
2024-10-25 19:01
31K
jfrog-cli-2.45.0-r18.apk
2026-01-17 22:42
9.6M
jellyfin-desktop-2.0.0-r0.apk
2026-01-19 02:24
561K
jedi-language-server-pyc-0.45.1-r0.apk
2025-04-28 22:57
50K
jedi-language-server-0.45.1-r0.apk
2025-04-28 22:57
32K
jdupes-doc-1.28.0-r0.apk
2024-10-25 19:01
9.0K
jdupes-1.28.0-r0.apk
2024-10-25 19:01
27K
jdebp-redo-doc-1.4-r1.apk
2024-10-25 19:01
12K
jdebp-redo-1.4-r1.apk
2024-10-25 19:01
93K
jbigkit-doc-2.1-r2.apk
2024-10-25 19:01
7.3K
jbigkit-dev-2.1-r2.apk
2024-10-25 19:01
30K
jbigkit-2.1-r2.apk
2024-10-25 19:01
67K
java-jtreg-7.5_p1-r0.apk
2024-10-27 13:25
4.6M
java-jtharness-examples-6.0_p12-r0.apk
2024-10-25 19:01
219K
java-jtharness-doc-6.0_p12-r0.apk
2024-10-25 19:01
12K
java-jtharness-6.0_p12-r0.apk
2024-10-25 19:01
4.0M
java-gdcm-3.2.2-r3.apk
2025-12-02 06:13
618K
java-asmtools-doc-8.0.09-r0.apk
2024-10-25 19:01
6.9K
java-asmtools-8.0.09-r0.apk
2024-10-25 19:01
574K
jaq-doc-2.3.0-r0.apk
2025-07-20 10:54
2.2K
jaq-2.3.0-r0.apk
2025-07-20 10:54
791K
jalv-gtk-1.6.8-r1.apk
2024-10-25 19:01
32K
jalv-doc-1.6.8-r1.apk
2024-10-25 19:01
3.2K
jalv-1.6.8-r1.apk
2024-10-25 19:01
48K
jadx-doc-1.5.3-r0.apk
2025-09-10 12:54
5.5K
jadx-1.5.3-r0.apk
2025-09-10 12:54
111M
jackdaw-pyc-0.3.1-r2.apk
2025-05-29 12:00
364K
jackdaw-0.3.1-r2.apk
2025-05-29 12:00
2.0M
jackal-openrc-0.64.0-r21.apk
2026-01-17 22:42
1.8K
jackal-0.64.0-r21.apk
2026-01-17 22:42
12M
jack_capture-0.9.73_git20210429-r2.apk
2024-10-25 19:01
31K
it87-src-1_p20240609-r0.apk
2024-10-25 19:01
30K
isomd5sum-doc-1.2.5-r0.apk
2025-07-15 08:02
3.0K
isomd5sum-1.2.5-r0.apk
2025-07-15 08:02
20K
isoinfo-0_git20131217-r1.apk
2024-10-25 19:01
6.5K
ircdog-0.5.4-r11.apk
2026-01-17 22:42
2.5M
irccd-openrc-4.0.3-r0.apk
2024-10-25 19:01
1.8K
irccd-doc-4.0.3-r0.apk
2024-10-25 19:01
80K
irccd-dev-4.0.3-r0.apk
2024-10-25 19:01
9.6K
irccd-4.0.3-r0.apk
2024-10-25 19:01
259K
iprange-doc-1.0.4-r1.apk
2024-10-25 19:01
4.5K
iprange-1.0.4-r1.apk
2024-10-25 19:01
20K
ipp-usb-openrc-0.9.30-r6.apk
2026-01-17 22:42
1.7K
ipp-usb-doc-0.9.30-r6.apk
2026-01-17 22:42
8.8K
ipp-usb-0.9.30-r6.apk
2026-01-17 22:42
2.6M
ip2location-doc-8.6.1-r0.apk
2024-10-25 19:01
2.7K
ip2location-dev-8.6.1-r0.apk
2024-10-25 19:01
12K
ip2location-8.6.1-r0.apk
2024-10-25 19:01
25K
iotas-pyc-0.12.5-r0.apk
2025-11-18 20:53
348K
iotas-lang-0.12.5-r0.apk
2025-11-18 20:53
160K
iotas-0.12.5-r0.apk
2025-11-18 20:53
225K
invidtui-0.4.6-r11.apk
2026-01-17 22:42
4.2M
intiface-central-2.6.7-r3.apk
2025-11-16 17:55
11M
interception-tools-openrc-0.6.8-r3.apk
2025-10-12 14:19
1.7K
interception-tools-0.6.8-r3.apk
2025-10-12 14:19
101K
innernet-zsh-completion-1.6.1-r0.apk
2024-10-25 19:01
5.5K
innernet-openrc-1.6.1-r0.apk
2024-10-25 19:01
2.3K
innernet-fish-completion-1.6.1-r0.apk
2024-10-25 19:01
4.5K
innernet-doc-1.6.1-r0.apk
2024-10-25 19:01
9.0K
innernet-bash-completion-1.6.1-r0.apk
2024-10-25 19:01
3.8K
innernet-1.6.1-r0.apk
2024-10-25 19:01
2.7M
inlyne-zsh-completion-0.5.0-r0.apk
2025-12-22 02:54
2.7K
inlyne-fish-completion-0.5.0-r0.apk
2025-12-22 02:54
2.5K
inlyne-doc-0.5.0-r0.apk
2025-12-22 02:54
2.3K
inlyne-bash-completion-0.5.0-r0.apk
2025-12-22 02:54
2.4K
inlyne-0.5.0-r0.apk
2025-12-22 02:54
6.7M
initify-0_git20171210-r1.apk
2024-10-25 19:01
3.3K
infnoise-openrc-0.3.3-r0.apk
2025-05-26 08:58
1.7K
infnoise-doc-0.3.3-r0.apk
2025-05-26 08:58
4.1K
infnoise-0.3.3-r0.apk
2025-05-26 08:58
14K
incus-ui-canonical-0.18.0-r0.apk
2025-08-22 12:18
4.7M
imrsh-dbg-0_git20210320-r1.apk
2024-10-25 19:01
19K
imrsh-0_git20210320-r1.apk
2024-10-25 19:01
8.8K
imgdiff-doc-1.0.2-r32.apk
2026-01-17 22:42
2.3K
imgdiff-1.0.2-r32.apk
2026-01-17 22:42
1.0M
imediff-pyc-2.6-r1.apk
2024-10-25 19:01
44K
imediff-doc-2.6-r1.apk
2024-10-25 19:01
6.5K
imediff-2.6-r1.apk
2024-10-25 19:01
42K
imapgoose-systemd-0.4.1-r2.apk
2026-01-17 22:42
1.8K
imapgoose-openrc-0.4.1-r2.apk
2026-01-17 22:42
1.7K
imapgoose-doc-0.4.1-r2.apk
2026-01-17 22:42
5.7K
imapgoose-0.4.1-r2.apk
2026-01-17 22:42
2.6M
imapfilter-doc-2.8.2-r0.apk
2024-10-25 19:01
13K
imapfilter-2.8.2-r0.apk
2024-10-25 19:01
41K
ijq-doc-1.2.0-r3.apk
2026-01-17 22:42
3.6K
ijq-1.2.0-r3.apk
2026-01-17 22:42
1.5M
igt-gpu-tools-dev-2.3-r0.apk
2025-12-19 07:45
17K
igt-gpu-tools-2.3-r0.apk
2025-12-19 07:45
5.2M
igrep-doc-1.2.0-r0.apk
2024-10-25 19:01
4.2K
igrep-1.2.0-r0.apk
2024-10-25 19:01
1.6M
ifuse-doc-1.1.4-r5.apk
2024-10-30 22:44
2.3K
ifuse-1.1.4-r5.apk
2024-10-30 22:44
9.6K
idevicerestore-doc-1.0.0_git20250914-r0.apk
2025-10-06 17:37
3.4K
idevicerestore-1.0.0_git20250914-r0.apk
2025-10-06 17:37
99K
ideviceinstaller-doc-1.1.1-r4.apk
2024-10-30 22:44
2.5K
ideviceinstaller-1.1.1-r4.apk
2024-10-30 22:44
14K
idesk-1-r1.apk
2024-10-25 19:01
70K
identme-0.6.0-r0.apk
2025-04-03 12:33
46K
identities-0.2.3-r0.apk
2026-01-03 16:56
19K
icingaweb2-module-pnp-doc-1.1.0-r1.apk
2024-10-25 19:01
1.6K
icingaweb2-module-pnp-1.1.0-r1.apk
2024-10-25 19:01
9.0K
icingaweb2-module-generictts-doc-2.1.0-r0.apk
2024-10-25 19:01
1.8K
icingaweb2-module-generictts-2.1.0-r0.apk
2024-10-25 19:01
6.6K
icingaweb2-module-fileshipper-doc-1.2.0-r3.apk
2024-10-25 19:01
231K
icingaweb2-module-fileshipper-1.2.0-r3.apk
2024-10-25 19:01
11K
icingaweb2-module-businessprocess-doc-2.5.2-r0.apk
2025-08-13 15:54
2.0M
icingaweb2-module-businessprocess-2.5.2-r0.apk
2025-08-13 15:54
110K
icestorm-0_git20240517-r0.apk
2024-10-25 19:01
17M
icesprog-udev-0_git20240108-r1.apk
2024-10-25 19:01
1.9K
icesprog-0_git20240108-r1.apk
2024-10-25 19:01
8.8K
ibus-typing-booster-pyc-2.29.0-r0.apk
2025-12-16 15:10
1.5M
ibus-typing-booster-lang-2.29.0-r0.apk
2025-12-16 15:10
317K
ibus-typing-booster-2.29.0-r0.apk
2025-12-16 15:10
13M
i3status-rust-doc-0.34.0-r0.apk
2025-07-19 15:30
33K
i3status-rust-0.34.0-r0.apk
2025-07-19 15:30
4.4M
i3bar-river-systemd-1.1.0-r1.apk
2025-10-29 22:51
1.9K
i3bar-river-openrc-1.1.0-r1.apk
2025-10-29 22:51
1.7K
i3bar-river-1.1.0-r1.apk
2025-10-29 22:51
511K
i2util-doc-4.2.1-r1.apk
2024-10-25 19:01
4.7K
i2util-dev-4.2.1-r1.apk
2024-10-25 19:01
44K
i2util-4.2.1-r1.apk
2024-10-25 19:01
20K
hyx-doc-2024.02.29-r0.apk
2024-10-25 19:01
2.2K
hyx-2024.02.29-r0.apk
2024-10-25 19:01
17K
hyprsunset-openrc-0.3.3-r1.apk
2025-12-14 11:02
1.8K
hyprsunset-doc-0.3.3-r1.apk
2025-12-14 11:02
2.4K
hyprsunset-0.3.3-r1.apk
2025-12-14 11:02
137K
hyprpicker-doc-0.4.5-r1.apk
2025-12-14 11:02
3.8K
hyprpicker-0.4.5-r1.apk
2025-12-14 11:02
118K
hyprlock-0.9.2-r0.apk
2025-12-14 11:02
452K
hypridle-openrc-0.1.7-r1.apk
2025-12-14 11:02
1.7K
hypridle-doc-0.1.7-r1.apk
2025-12-14 11:02
2.4K
hypridle-0.1.7-r1.apk
2025-12-14 11:02
138K
hypnotix-lang-3.5-r0.apk
2024-10-25 19:01
72K
hypnotix-3.5-r0.apk
2024-10-25 19:01
110K
hyperrogue-doc-13.1i-r0.apk
2025-12-19 03:52
8.0K
hyperrogue-13.1i-r0.apk
2025-12-19 03:52
84M
hyfetch-zsh-completion-2.0.5-r0.apk
2025-11-21 17:34
2.6K
hyfetch-doc-2.0.5-r0.apk
2025-11-21 17:34
20K
hyfetch-bash-completion-2.0.5-r0.apk
2025-11-21 17:34
3.3K
hyfetch-2.0.5-r0.apk
2025-11-21 17:34
874K
hx-doc-1.0.15-r0.apk
2024-10-25 19:01
4.8K
hx-1.0.15-r0.apk
2024-10-25 19:01
14K
hwatch-zsh-completion-0.3.11-r0.apk
2024-10-25 19:01
1.9K
hwatch-fish-completion-0.3.11-r0.apk
2024-10-25 19:01
1.8K
hwatch-doc-0.3.11-r0.apk
2024-10-25 19:01
3.0K
hwatch-0.3.11-r0.apk
2024-10-25 19:01
1.0M
hw-probe-1.6.6-r2.apk
2025-06-30 08:08
124K
hurl-zsh-completion-7.1.0-r0.apk
2025-12-05 18:41
4.1K
hurl-fish-completion-7.1.0-r0.apk
2025-12-05 18:41
3.6K
hurl-doc-7.1.0-r0.apk
2025-12-05 18:41
9.2K
hurl-bash-completion-7.1.0-r0.apk
2025-12-05 18:41
2.3K
hurl-7.1.0-r0.apk
2025-12-05 18:41
2.4M
hunspell-ca-es-3.0.7-r1.apk
2026-01-27 11:51
734K
hubble-cli-zsh-completion-0.13.6-r11.apk
2026-01-17 22:42
4.1K
hubble-cli-fish-completion-0.13.6-r11.apk
2026-01-17 22:42
4.3K
hubble-cli-bash-completion-0.13.6-r11.apk
2026-01-17 22:42
5.1K
hubble-cli-0.13.6-r11.apk
2026-01-17 22:42
18M
hub-zsh-completion-2.14.2-r37.apk
2026-01-17 22:42
3.7K
hub-fish-completion-2.14.2-r37.apk
2026-01-17 22:42
3.3K
hub-doc-2.14.2-r37.apk
2026-01-17 22:42
42K
hub-bash-completion-2.14.2-r37.apk
2026-01-17 22:42
4.6K
hub-2.14.2-r37.apk
2026-01-17 22:42
3.0M
httrack-doc-3.49.2-r5.apk
2024-10-25 19:01
528K
httrack-3.49.2-r5.apk
2024-10-25 19:01
742K
httpx-doc-1.8.1-r0.apk
2026-01-22 18:40
2.3K
httpx-1.8.1-r0.apk
2026-01-22 18:40
19M
httplz-doc-2.2.0-r0.apk
2025-05-18 15:38
2.3K
httplz-2.2.0-r0.apk
2025-05-18 15:38
1.3M
httpie-oauth-pyc-1.0.2-r9.apk
2024-10-25 19:01
2.3K
httpie-oauth-1.0.2-r9.apk
2024-10-25 19:01
3.4K
htmlcxx-dev-0.87-r1.apk
2024-10-25 19:01
21K
htmlcxx-0.87-r1.apk
2024-10-25 19:01
63K
hstdb-2.1.0-r2.apk
2024-10-25 19:01
878K
hsetroot-1.0.5-r1.apk
2024-10-25 19:01
11K
hpnssh-doc-18.8.0-r0.apk
2025-11-28 19:38
101K
hpnssh-18.8.0-r0.apk
2025-11-28 19:38
2.8M
hping3-doc-20051105-r4.apk
2024-10-25 19:01
17K
hping3-20051105-r4.apk
2024-10-25 19:01
72K
horizon-tools-0.9.6-r9.apk
2024-10-25 19:01
79K
horizon-image-0.9.6-r9.apk
2024-10-25 19:01
66K
horizon-doc-0.9.6-r9.apk
2024-10-25 19:01
21K
horizon-dev-0.9.6-r9.apk
2024-10-25 19:01
4.9K
horizon-dbg-0.9.6-r9.apk
2024-10-25 19:01
4.0M
horizon-0.9.6-r9.apk
2024-10-25 19:01
199K
honeybee-doc-0.2.0-r1.apk
2025-07-08 23:26
3.4K
honeybee-0.2.0-r1.apk
2025-07-08 23:26
1.6M
homebank-lang-5.9.5-r0.apk
2025-10-14 14:54
942K
homebank-5.9.5-r0.apk
2025-10-14 14:54
2.0M
hiprompt-gtk-py-0.8.0-r1.apk
2025-09-17 10:03
8.0K
hiprompt-gtk-0.9-r0.apk
2025-08-25 17:31
254K
himitsu-totp-doc-0.9-r0.apk
2025-09-06 16:20
2.4K
himitsu-totp-0.9-r0.apk
2025-09-06 16:20
125K
himitsu-secret-service-pyc-0.1_git20250705-r1.apk
2025-10-20 13:50
29K
himitsu-secret-service-doc-0.1_git20250705-r1.apk
2025-10-20 13:50
4.0K
himitsu-secret-service-0.1_git20250705-r1.apk
2025-10-20 13:50
16K
himitsu-keyring-0.2.0-r0.apk
2024-10-25 19:01
13K
himitsu-git-0.9.0-r0.apk
2025-08-20 16:37
97K
himitsu-firefox-0.6-r1.apk
2024-11-29 00:08
168K
hilbish-doc-2.3.4-r11.apk
2026-01-17 22:42
25K
hilbish-2.3.4-r11.apk
2026-01-17 22:42
3.7M
highfive-2.10.1-r0.apk
2025-01-15 02:50
75K
highctidh-dev-1.0.2024092800-r0.apk
2024-11-25 20:24
326K
highctidh-1.0.2024092800-r0.apk
2024-11-25 20:24
302K
hidrd-dev-0.2.0_git20190603-r1.apk
2024-10-25 19:01
126K
hidrd-0.2.0_git20190603-r1.apk
2024-10-25 19:01
74K
hiawatha-openrc-11.6-r1.apk
2025-05-27 11:07
1.7K
hiawatha-letsencrypt-11.6-r1.apk
2025-05-27 11:07
17K
hiawatha-doc-11.6-r1.apk
2025-05-27 11:07
21K
hiawatha-11.6-r1.apk
2025-05-27 11:07
181K
hfst-libs-3.16.2-r1.apk
2026-01-14 05:56
1.9M
hfst-doc-3.16.2-r1.apk
2026-01-14 05:56
70K
hfst-dev-3.16.2-r1.apk
2026-01-14 05:56
209K
hfst-3.16.2-r1.apk
2026-01-14 05:56
1.4M
hexedit-doc-1.6_git20230905-r0.apk
2024-10-25 19:01
5.6K
hexedit-1.6_git20230905-r0.apk
2024-10-25 19:01
16K
hex-0.6.0-r0.apk
2024-10-25 19:01
293K
herbe-1.0.0-r0.apk
2024-10-25 19:01
5.8K
helvum-0.5.1-r0.apk
2024-10-25 19:01
313K
helmfile-zsh-completion-1.1.8-r2.apk
2026-01-17 22:42
4.0K
helmfile-fish-completion-1.1.8-r2.apk
2026-01-17 22:42
4.3K
helmfile-doc-1.1.8-r2.apk
2026-01-17 22:42
2.2K
helmfile-bash-completion-1.1.8-r2.apk
2026-01-17 22:42
6.1K
helmfile-1.1.8-r2.apk
2026-01-17 22:42
61M
helm-unittest-1.0.3-r2.apk
2026-01-17 22:42
13M
helm-mapkubeapis-0.6.1-r2.apk
2026-01-17 22:42
22M
helm-ls-doc-0.5.4-r2.apk
2026-01-17 22:42
2.2K
helm-ls-0.5.4-r2.apk
2026-01-17 22:42
19M
helm-diff-3.13.1-r2.apk
2026-01-17 22:42
22M
heisenbridge-pyc-1.15.4-r0.apk
2025-10-06 05:07
155K
heisenbridge-1.15.4-r0.apk
2025-10-06 05:07
67K
heh-doc-0.6.3-r0.apk
2026-01-21 17:22
4.1K
heh-0.6.3-r0.apk
2026-01-21 17:22
490K
hdf4-tools-4.2.15-r2.apk
2024-10-25 19:01
186K
hdf4-doc-4.2.15-r2.apk
2024-10-25 19:01
6.0K
hdf4-dev-4.2.15-r2.apk
2024-10-25 19:01
101K
hdf4-4.2.15-r2.apk
2024-10-25 19:01
254K
hddfancontrol-pyc-1.6.2-r0.apk
2024-10-25 19:01
34K
hddfancontrol-openrc-1.6.2-r0.apk
2024-10-25 19:01
2.2K
hddfancontrol-1.6.2-r0.apk
2024-10-25 19:01
33K
hctl-0.2.7-r0.apk
2025-05-14 00:10
1.3M
hatop-doc-0.8.2-r0.apk
2024-10-25 19:01
3.0K
hatop-0.8.2-r0.apk
2024-10-25 19:01
18K
hatch-pyc-1.16.1-r0.apk
2025-11-30 20:01
246K
hatch-1.16.1-r0.apk
2025-11-30 20:01
115K
haskell-language-server-2.9.0.0-r0.apk
2024-10-25 19:01
68M
hashcat-doc-6.2.6-r0.apk
2024-10-25 19:01
2.1M
hashcat-6.2.6-r0.apk
2024-10-25 19:01
61M
harminv-libs-1.4.2-r1.apk
2024-10-25 19:01
14K
harminv-doc-1.4.2-r1.apk
2024-10-25 19:01
5.7K
harminv-dev-1.4.2-r1.apk
2024-10-25 19:01
3.1K
harminv-1.4.2-r1.apk
2024-10-25 19:01
7.6K
haredo-doc-1.0.5-r1.apk
2024-11-29 00:08
4.7K
haredo-1.0.5-r1.apk
2024-11-29 00:08
122K
hare-scfg-0.25.2-r0.apk
2025-09-22 15:28
4.7K
hare-madeline-doc-0.1_git20240505-r1.apk
2024-11-29 00:08
2.2K
hare-madeline-0.1_git20240505-r1.apk
2024-11-29 00:08
25K
hare-lsp-0.1.0-r0.apk
2025-12-27 17:59
535K
hare-irc-0.25.2.0-r0.apk
2025-06-25 07:24
11K
hare-http-0.25.2.0-r1.apk
2025-08-09 16:27
21K
hare-gtk4-layer-shell-0.1.0-r0.apk
2025-08-25 17:31
3.8K
hare-gi-0.1.0-r0.apk
2025-08-25 17:31
1.5M
hare-adwaita-0.1.0-r0.apk
2025-08-25 17:31
90K
hardinfo2-openrc-2.2.13-r0.apk
2025-09-28 12:01
2.0K
hardinfo2-lang-2.2.13-r0.apk
2025-09-28 12:01
292K
hardinfo2-doc-2.2.13-r0.apk
2025-09-28 12:01
3.0K
hardinfo2-2.2.13-r0.apk
2025-09-28 12:01
3.3M
hardened-malloc-13-r0.apk
2024-10-25 19:01
34K
haproxy-dataplaneapi2-openrc-2.9.18-r3.apk
2026-01-17 22:42
2.1K
haproxy-dataplaneapi2-2.9.18-r3.apk
2026-01-17 22:42
11M
handlebars-utils-1.0.0-r1.apk
2024-10-25 19:01
10K
handlebars-dev-1.0.0-r1.apk
2024-10-25 19:01
32K
handlebars-1.0.0-r1.apk
2024-10-25 19:01
106K
hamster-time-tracker-pyc-3.0.3-r2.apk
2024-10-25 19:01
358K
hamster-time-tracker-lang-3.0.3-r2.apk
2024-10-25 19:01
206K
hamster-time-tracker-doc-3.0.3-r2.apk
2024-10-25 19:01
116K
hamster-time-tracker-bash-completion-3.0.3-r2.apk
2024-10-25 19:01
2.0K
hamster-time-tracker-3.0.3-r2.apk
2024-10-25 19:01
156K
halp-zsh-completion-0.2.0-r0.apk
2024-10-25 19:01
2.4K
halp-fish-completion-0.2.0-r0.apk
2024-10-25 19:01
2.0K
halp-doc-0.2.0-r0.apk
2024-10-25 19:01
6.9K
halp-bash-completion-0.2.0-r0.apk
2024-10-25 19:01
2.2K
halp-0.2.0-r0.apk
2024-10-25 19:01
1.1M
habitctl-0.1.0-r2.apk
2024-10-25 19:01
324K
h4h5tools-static-2.2.5-r4.apk
2024-10-25 19:01
107K
h4h5tools-doc-2.2.5-r4.apk
2024-10-25 19:01
2.7K
h4h5tools-dev-2.2.5-r4.apk
2024-10-25 19:01
8.8K
h4h5tools-2.2.5-r4.apk
2024-10-25 19:01
108K
gyosu-0.2.0-r4.apk
2026-01-17 22:42
2.0M
gx-go-doc-1.9.0-r38.apk
2026-01-17 22:42
2.3K
gx-go-1.9.0-r38.apk
2026-01-17 22:42
5.1M
gx-doc-0.14.3-r36.apk
2026-01-17 22:42
2.3K
gx-0.14.3-r36.apk
2026-01-17 22:42
4.9M
gupnp-doc-1.6.9-r1.apk
2025-08-09 16:27
3.8K
gupnp-dlna-dev-0.12.0-r1.apk
2025-08-09 16:27
24K
gupnp-dlna-0.12.0-r1.apk
2025-08-09 16:27
65K
gupnp-dev-1.6.9-r1.apk
2025-08-09 16:27
50K
gupnp-av-dev-0.14.4-r1.apk
2025-08-09 16:27
42K
gupnp-av-0.14.4-r1.apk
2025-08-09 16:27
79K
gupnp-1.6.9-r1.apk
2025-08-09 16:27
88K
gummiboot-efistub-48.1-r11.apk
2025-07-20 21:07
22K
gummiboot-doc-48.1-r11.apk
2025-07-20 21:07
2.9K
gummiboot-48.1-r11.apk
2025-07-20 21:07
45K
guish-doc-2.6.11-r0.apk
2024-12-24 10:42
61K
guish-2.6.11-r0.apk
2024-12-24 10:42
99K
gufw-pyc-24.04-r3.apk
2024-11-19 21:49
65K
gufw-lang-24.04-r3.apk
2024-11-19 21:49
855K
gufw-doc-24.04-r3.apk
2024-11-19 21:49
4.5K
gufw-24.04-r3.apk
2024-11-19 21:49
596K
guestfs-tools-1.56.1-r0.apk
2025-07-22 22:16
275K
guake-pyc-3.10.1-r0.apk
2025-11-24 18:07
186K
guake-lang-3.10.1-r0.apk
2025-11-24 18:07
194K
guake-3.10.1-r0.apk
2025-11-24 18:07
304K
gtypist-lang-2.10.1-r0.apk
2025-10-12 20:59
26K
gtypist-doc-2.10.1-r0.apk
2025-10-12 20:59
104K
gtypist-2.10.1-r0.apk
2025-10-12 20:59
635K
gtranslator-lang-49.0-r0.apk
2025-09-15 07:46
593K
gtranslator-doc-49.0-r0.apk
2025-09-15 07:46
638K
gtranslator-49.0-r0.apk
2025-09-15 07:46
139K
gtkwave-doc-3.3.120-r0.apk
2024-10-25 19:01
27K
gtkwave-3.3.120-r0.apk
2024-10-25 19:01
2.5M
gtklock-doc-4.0.0-r0.apk
2025-01-31 16:16
3.0K
gtklock-4.0.0-r0.apk
2025-01-31 16:16
18K
gtkhash-lang-1.5-r0.apk
2024-10-25 19:01
47K
gtkhash-1.5-r0.apk
2024-10-25 19:01
87K
gtk-session-lock-dev-0.2.0-r0.apk
2025-01-31 16:16
5.3K
gtk-session-lock-0.2.0-r0.apk
2025-01-31 16:16
35K
gtimelog-pyc-0.12_git20251114-r0.apk
2025-11-18 20:53
102K
gtimelog-0.12_git20251114-r0.apk
2025-11-18 20:53
219K
gstreamermm-dev-1.10.0-r6.apk
2025-02-22 14:38
310K
gstreamermm-1.10.0-r6.apk
2025-02-22 14:38
464K
gst-video-thumbnailer-1.0_alpha3-r0.apk
2026-01-15 11:33
282K
gst-thumbnailers-1.0_alpha3-r0.apk
2026-01-15 11:33
1.3K
gst-audio-thumbnailer-1.0_alpha3-r0.apk
2026-01-15 11:33
268K
gssdp-dev-1.6.4-r1.apk
2025-08-09 16:27
16K
gssdp-1.6.4-r1.apk
2025-08-09 16:27
45K
gsimplecal-doc-2.5.2-r0.apk
2025-10-16 18:48
5.8K
gsimplecal-2.5.2-r0.apk
2025-10-16 18:48
15K
gsettings-qt-dev-1.1.0-r0.apk
2025-12-09 11:10
3.6K
gsettings-qt-1.1.0-r0.apk
2025-12-09 11:10
28K
grpcurl-1.9.3-r9.apk
2026-01-17 22:42
8.5M
grpcui-1.5.1-r5.apk
2026-01-17 22:42
9.0M
grpc-java-1.78.0-r0.apk
2026-01-03 11:25
76K
grpc-health-check-0.1.1-r3.apk
2024-10-25 19:01
1.0M
greetd-mini-wl-greeter-doc-0_git20230821-r0.apk
2024-10-25 19:01
3.3K
greetd-mini-wl-greeter-bash-completion-0_git20230821-r0.apk
2024-10-25 19:01
2.2K
greetd-mini-wl-greeter-0_git20230821-r0.apk
2024-10-25 19:01
19K
grcov-0.8.20-r0.apk
2024-11-11 10:06
1.9M
grafana-image-renderer-openrc-4.0.17-r0.apk
2025-10-10 17:54
2.0K
grafana-image-renderer-4.0.17-r0.apk
2025-10-10 17:54
62M
gradia-lang-1.11.1-r0.apk
2026-01-07 00:06
64K
gradia-dev-1.11.1-r0.apk
2026-01-07 00:06
2.5K
gradia-1.11.1-r0.apk
2026-01-07 00:06
786K
gr-satellites-doc-5.5.0-r6.apk
2025-10-12 12:09
4.5K
gr-satellites-dev-5.5.0-r6.apk
2025-10-12 12:09
13K
gr-satellites-5.5.0-r6.apk
2025-10-12 12:09
499K
gpscorrelate-lang-2.3-r0.apk
2025-03-27 06:36
17K
gpscorrelate-doc-2.3-r0.apk
2025-03-27 06:36
285K
gpscorrelate-cli-2.3-r0.apk
2025-03-27 06:36
26K
gpscorrelate-2.3-r0.apk
2025-03-27 06:36
51K
gpsbabel-lang-1.10.0-r0.apk
2025-11-07 17:28
88K
gpsbabel-1.10.0-r0.apk
2025-11-07 17:28
1.4M
gprbuild-25.0.0-r0.apk
2025-10-29 02:54
13M
gperftools-doc-2.17-r0.apk
2025-08-05 13:00
247K
gperftools-dev-2.17-r0.apk
2025-08-05 13:00
682K
gperftools-2.17-r0.apk
2025-08-05 13:00
23K
goxel-0.15.1-r0.apk
2024-10-25 19:01
1.7M
gotify-openrc-2.7.2-r3.apk
2026-01-17 22:42
2.0K
gotify-cli-2.3.2-r11.apk
2026-01-17 22:42
4.5M
gotify-2.7.2-r3.apk
2026-01-17 22:42
11M
goshs-doc-1.1.3-r1.apk
2026-01-17 22:42
2.3K
goshs-1.1.3-r1.apk
2026-01-17 22:42
6.5M
goreman-0.3.15-r19.apk
2026-01-17 22:42
2.6M
goomwwm-1.0.0-r5.apk
2024-10-25 19:01
46K
gomp-1.0.0-r18.apk
2026-01-17 22:42
3.8M
goguma-0.8.0-r4.apk
2025-11-16 17:55
4.5M
godot-templates-4.5.1-r1.apk
2026-01-14 05:56
46M
godot-doc-4.5.1-r1.apk
2026-01-14 05:56
4.6K
godot-4.5.1-r1.apk
2026-01-14 05:56
63M
godini-doc-1.0.0-r6.apk
2026-01-17 22:42
15K
godini-1.0.0-r6.apk
2026-01-17 22:42
1.6M
gobuster-3.8.0-r4.apk
2026-01-17 22:42
3.8M
gobang-0.1.0_alpha5-r1.apk
2024-10-25 19:01
1.9M
go-tools-0.41.0-r1.apk
2026-01-17 22:42
47M
go-passbolt-cli-0.3.2-r9.apk
2026-01-17 22:42
6.4M
go-mtpfs-1.0.0-r34.apk
2026-01-17 22:42
1.2M
go-jsonnet-0.21.0-r6.apk
2026-01-17 22:42
6.9M
go-away-openrc-0.7.0-r1.apk
2025-09-06 16:20
2.3K
go-away-0.7.0-r1.apk
2025-09-06 16:20
8.7M
gnucobol-lang-3.2-r0.apk
2025-07-28 14:02
316K
gnucobol-doc-3.2-r0.apk
2025-07-28 14:02
71K
gnucobol-3.2-r0.apk
2025-07-28 14:02
794K
gnu-apl-doc-1.9-r0.apk
2024-10-25 19:01
1.6M
gnu-apl-dev-1.9-r0.apk
2024-10-25 19:01
589K
gnu-apl-1.9-r0.apk
2024-10-25 19:01
1.2M
gnome-mimeapps-0.1-r1.apk
2025-08-09 16:27
3.7K
gnome-metronome-lang-1.3.0-r0.apk
2024-10-25 19:01
25K
gnome-metronome-1.3.0-r0.apk
2024-10-25 19:01
458K
gnome-mahjongg-lang-49.1.1-r0.apk
2026-01-27 17:12
172K
gnome-mahjongg-doc-49.1.1-r0.apk
2026-01-27 17:12
2.2K
gnome-mahjongg-49.1.1-r0.apk
2026-01-27 17:12
2.4M
gnome-latex-lang-3.49.0-r0.apk
2026-01-07 00:06
530K
gnome-latex-doc-3.49.0-r0.apk
2026-01-07 00:06
110K
gnome-latex-3.49.0-r0.apk
2026-01-07 00:06
363K
gnome-common-3.18.0-r3.apk
2024-10-25 19:01
12K
gmsh-py-4.15.0-r0.apk
2025-11-01 00:21
6.7K
gmsh-doc-4.15.0-r0.apk
2025-11-01 00:21
1.9M
gmsh-dbg-4.15.0-r0.apk
2025-11-01 00:21
151M
gmsh-4.15.0-r0.apk
2025-11-01 00:21
8.9M
gmid-openrc-2.1.1-r1.apk
2026-01-12 13:47
2.3K
gmid-doc-2.1.1-r1.apk
2026-01-12 13:47
14K
gmid-2.1.1-r1.apk
2026-01-12 13:47
220K
gmic-qt-3.6.0-r2.apk
2026-01-03 16:55
1.7M
gmic-libs-3.6.0-r2.apk
2026-01-03 16:55
3.2M
gmic-doc-3.6.0-r2.apk
2026-01-03 16:55
223K
gmic-dev-3.6.0-r2.apk
2026-01-03 16:55
7.6K
gmic-bash-completion-3.6.0-r2.apk
2026-01-03 16:55
29K
gmic-3.6.0-r2.apk
2026-01-03 16:55
12M
gmenuharness-dev-0.1.4-r2.apk
2025-02-22 14:38
4.1K
gmenuharness-0.1.4-r2.apk
2025-02-22 14:38
37K
gmcapsule-pyc-0.9.8-r0.apk
2025-10-07 09:39
61K
gmcapsule-openrc-0.9.8-r0.apk
2025-10-07 09:39
2.0K
gmcapsule-0.9.8-r0.apk
2025-10-07 09:39
36K
glslviewer-3.2.4-r2.apk
2025-08-28 20:04
1.8M
glow-zsh-completion-2.1.1-r6.apk
2026-01-17 22:42
4.0K
glow-fish-completion-2.1.1-r6.apk
2026-01-17 22:42
4.3K
glow-doc-2.1.1-r6.apk
2026-01-17 22:42
3.2K
glow-bash-completion-2.1.1-r6.apk
2026-01-17 22:42
6.1K
glow-2.1.1-r6.apk
2026-01-17 22:42
6.2M
gloox-dev-1.0.28-r0.apk
2024-10-25 19:01
878K
gloox-1.0.28-r0.apk
2024-10-25 19:01
364K
glmark2-doc-2023.01-r1.apk
2024-10-25 19:01
13K
glmark2-2023.01-r1.apk
2024-10-25 19:01
7.9M
gliderlabs-sigil-doc-0.11.0-r11.apk
2026-01-17 22:42
2.4K
gliderlabs-sigil-0.11.0-r11.apk
2026-01-17 22:42
3.4M
glfw-wayland-dev-3.3.8-r3.apk
2024-10-25 19:01
46K
glfw-wayland-dbg-3.3.8-r3.apk
2024-10-25 19:01
195K
glfw-wayland-3.3.8-r3.apk
2024-10-25 19:01
61K
gl2ps-static-1.4.2-r0.apk
2025-10-12 21:34
42K
gl2ps-doc-1.4.2-r0.apk
2025-10-12 21:34
230K
gl2ps-dev-1.4.2-r0.apk
2025-10-12 21:34
4.3K
gl2ps-1.4.2-r0.apk
2025-10-12 21:34
37K
gkrellm-server-2.3.11-r0.apk
2025-01-08 23:55
51K
gkrellm-lang-2.3.11-r0.apk
2025-01-08 23:55
379K
gkrellm-doc-2.3.11-r0.apk
2025-01-08 23:55
19K
gkrellm-dev-2.3.11-r0.apk
2025-01-08 23:55
17K
gkrellm-2.3.11-r0.apk
2025-01-08 23:55
353K
gitoxide-0.14.0-r1.apk
2024-10-25 19:01
2.7M
git-secret-doc-0.5.0-r0.apk
2024-10-25 19:01
17K
git-secret-0.5.0-r0.apk
2024-10-25 19:01
15K
git-revise-pyc-0.7.0-r5.apk
2024-10-25 19:01
42K
git-revise-doc-0.7.0-r5.apk
2024-10-25 19:01
5.0K
git-revise-0.7.0-r5.apk
2024-10-25 19:01
24K
git-quick-stats-doc-2.8.0-r0.apk
2025-09-11 08:18
3.5K
git-quick-stats-2.8.0-r0.apk
2025-09-11 08:18
15K
git-graph-doc-0.6.0-r0.apk
2024-11-25 23:38
6.2K
git-graph-0.6.0-r0.apk
2024-11-25 23:38
860K
git-extras-doc-7.4.0-r0.apk
2025-07-22 22:16
65K
git-extras-bash-completion-7.4.0-r0.apk
2025-07-22 22:16
2.9K
git-extras-7.4.0-r0.apk
2025-07-22 22:16
57K
git-bug-zsh-completion-0.8.1-r7.apk
2026-01-17 22:42
4.0K
git-bug-fish-completion-0.8.1-r7.apk
2026-01-17 22:42
4.3K
git-bug-doc-0.8.1-r7.apk
2026-01-17 22:42
17K
git-bug-bash-completion-0.8.1-r7.apk
2026-01-17 22:42
5.3K
git-bug-0.8.1-r7.apk
2026-01-17 22:42
10M
gingerbase-pyc-2.3.0-r7.apk
2024-10-25 19:01
61K
gingerbase-lang-2.3.0-r7.apk
2024-10-25 19:01
53K
gingerbase-2.3.0-r7.apk
2024-10-25 19:01
195K
ginger-pyc-2.4.0-r7.apk
2024-10-25 19:01
207K
ginger-lang-2.4.0-r7.apk
2024-10-25 19:01
125K
ginger-2.4.0-r7.apk
2024-10-25 19:01
257K
gimp-plugin-gmic-3.6.0-r2.apk
2026-01-03 16:55
1.4M
ghq-zsh-completion-1.8.0-r7.apk
2026-01-17 22:42
2.5K
ghq-fish-completion-1.8.0-r7.apk
2026-01-17 22:42
2.5K
ghq-doc-1.8.0-r7.apk
2026-01-17 22:42
5.5K
ghq-bash-completion-1.8.0-r7.apk
2026-01-17 22:42
1.8K
ghq-1.8.0-r7.apk
2026-01-17 22:42
3.9M
ghostty-zsh-completion-1.2.3_git20260112-r1.apk
2026-01-21 10:58
4.6K
ghostty-fish-completion-1.2.3_git20260112-r1.apk
2026-01-21 10:58
8.3K
ghostty-doc-1.2.3_git20260112-r1.apk
2026-01-21 10:58
2.3K
ghostty-bash-completion-1.2.3_git20260112-r1.apk
2026-01-21 10:58
6.5K
ghostty-1.2.3_git20260112-r1.apk
2026-01-21 10:58
16M
ghidra-tutorials-12.0-r0.apk
2025-12-13 16:58
4.0M
ghidra-doc-12.0-r0.apk
2025-12-13 16:58
57M
ghidra-12.0-r0.apk
2025-12-13 16:58
410M
ghc-filesystem-1.5.14-r0.apk
2024-10-25 19:01
39K
gfan-0.6.2-r1.apk
2024-10-25 19:01
1.6M
getting-things-gnome-lang-0.6-r4.apk
2024-12-08 21:48
229K
getting-things-gnome-doc-0.6-r4.apk
2024-12-08 21:48
497K
getting-things-gnome-0.6-r4.apk
2024-12-08 21:48
715K
getssl-2.48-r0.apk
2024-10-25 19:01
82K
getmail6-pyc-6.19.10-r0.apk
2025-08-19 11:29
103K
getmail6-doc-6.19.10-r0.apk
2025-08-19 11:29
139K
getmail6-6.19.10-r0.apk
2025-08-19 11:29
70K
gesture-openrc-0.8-r0.apk
2026-01-19 14:19
1.7K
gesture-0.8-r0.apk
2026-01-19 14:19
13K
geotagging-0.7.4-r0.apk
2024-11-12 11:18
440K
geonames-lang-0.3.1-r2.apk
2024-10-25 19:01
4.6M
geonames-doc-0.3.1-r2.apk
2024-10-25 19:01
13K
geonames-dev-0.3.1-r2.apk
2024-10-25 19:01
3.0K
geonames-0.3.1-r2.apk
2024-10-25 19:01
827K
geomyidae-openrc-0.34-r2.apk
2024-10-25 19:01
2.0K
geomyidae-doc-0.34-r2.apk
2024-10-25 19:01
7.7K
geomyidae-0.34-r2.apk
2024-10-25 19:01
15K
geodns-openrc-3.3.0-r19.apk
2026-01-17 22:42
1.8K
geodns-logs-3.3.0-r19.apk
2026-01-17 22:42
4.6M
geodns-3.3.0-r19.apk
2026-01-17 22:42
5.0M
geoclue-stumbler-1.1-r0.apk
2025-10-04 17:03
41K
generate-kernel-cmdline-doc-1.0-r0.apk
2026-01-22 23:37
2.9K
generate-kernel-cmdline-1.0-r0.apk
2026-01-22 23:37
152K
genact-1.5.1-r0.apk
2026-01-26 15:25
1.7M
gede-2.22.1-r0.apk
2025-11-02 20:48
404K
gearmand-openrc-1.1.22-r0.apk
2025-09-06 16:20
1.8K
gearmand-doc-1.1.22-r0.apk
2025-09-06 16:20
189K
gearmand-1.1.22-r0.apk
2025-09-06 16:20
175K
gearman-libs-1.1.22-r0.apk
2025-09-06 16:20
79K
gearman-dev-1.1.22-r0.apk
2025-09-06 16:20
1.1M
gdcm-doc-pdf-3.2.2-r3.apk
2025-12-02 06:13
14M
gdcm-doc-html-3.2.2-r3.apk
2025-12-02 06:13
8.9M
gdcm-doc-3.2.2-r3.apk
2025-12-02 06:13
55K
gdcm-dev-3.2.2-r3.apk
2025-12-02 06:13
450K
gdcm-3.2.2-r3.apk
2025-12-02 06:13
414K
gcli-doc-2.9.1-r0.apk
2025-11-09 16:39
38K
gcli-2.9.1-r0.apk
2025-11-09 16:39
127K
gb-0.4.4-r37.apk
2026-01-17 22:42
7.4M
gaupol-pyc-1.12-r2.apk
2024-10-25 19:01
419K
gaupol-lang-1.12-r2.apk
2024-10-25 19:01
277K
gaupol-doc-1.12-r2.apk
2024-10-25 19:01
2.4K
gaupol-1.12-r2.apk
2024-10-25 19:01
276K
gatling-openrc-0.16-r6.apk
2024-10-25 19:01
2.8K
gatling-doc-0.16-r6.apk
2024-10-25 19:01
9.1K
gatling-0.16-r6.apk
2024-10-25 19:01
142K
gammastep-pyc-2.0.9-r3.apk
2024-10-25 19:01
17K
gammastep-lang-2.0.9-r3.apk
2024-10-25 19:01
78K
gammastep-doc-2.0.9-r3.apk
2024-10-25 19:01
14K
gammastep-2.0.9-r3.apk
2024-10-25 19:01
89K
game-devices-udev-0.25-r0.apk
2025-10-23 05:17
6.9K
gambit-doc-4.9.5-r1.apk
2025-04-07 08:30
4.3K
gambit-dev-4.9.5-r1.apk
2025-04-07 08:30
6.7M
gambit-4.9.5-r1.apk
2025-04-07 08:30
10M
fynedesk-0.4.0-r3.apk
2026-01-17 22:42
13M
fxload-2008.10.13-r0.apk
2025-12-06 23:09
8.4K
fxfloorboard-katana-mk2-doc-20240515-r1.apk
2024-10-25 19:01
1.1M
fxfloorboard-katana-mk2-20240515-r1.apk
2024-10-25 19:01
5.5M
fwallet-1.2.0-r7.apk
2025-11-16 17:55
8.2M
fuzzylite-libs-6.0-r2.apk
2025-01-31 21:53
345K
fuzzylite-doc-6.0-r2.apk
2025-01-31 21:53
2.1K
fuzzylite-dev-6.0-r2.apk
2025-01-31 21:53
68K
fuzzylite-6.0-r2.apk
2025-01-31 21:53
4.1K
futhark-0.25.28-r0.apk
2025-03-12 01:07
21M
fusesoc-pyc-2.3-r0.apk
2024-10-25 19:01
89K
fusesoc-2.3-r0.apk
2024-10-25 19:01
46K
fuseiso-doc-20070708-r0.apk
2025-04-14 01:40
2.6K
fuseiso-20070708-r0.apk
2025-04-14 01:40
15K
fusee-nano-udev-0.5.3-r1.apk
2024-10-25 19:01
1.7K
fusee-nano-0.5.3-r1.apk
2024-10-25 19:01
21K
fulcrum-doc-1.9.8-r1.apk
2024-10-25 19:01
22K
fulcrum-admin-1.9.8-r1.apk
2024-10-25 19:01
7.9K
fulcrum-1.9.8-r1.apk
2024-10-25 19:01
937K
freshrss-themes-1.28.0-r0.apk
2026-01-02 01:39
1.5M
freshrss-sqlite-1.28.0-r0.apk
2026-01-02 01:39
1.3K
freshrss-pgsql-1.28.0-r0.apk
2026-01-02 01:39
1.3K
freshrss-openrc-1.28.0-r0.apk
2026-01-02 01:39
2.5K
freshrss-mysql-1.28.0-r0.apk
2026-01-02 01:39
1.3K
freshrss-lang-1.28.0-r0.apk
2026-01-02 01:39
507K
freshrss-doc-1.28.0-r0.apk
2026-01-02 01:39
912K
freshrss-1.28.0-r0.apk
2026-01-02 01:39
1.7M
frescobaldi-pyc-3.3.0-r1.apk
2024-10-25 19:01
1.2M
frescobaldi-doc-3.3.0-r1.apk
2024-10-25 19:01
2.5K
frescobaldi-3.3.0-r1.apk
2024-10-25 19:01
3.5M
freetube-0.23.13-r0.apk
2026-01-23 21:56
1.6M
freediameter-libfdproto-1.5.0-r1.apk
2024-10-25 19:01
94K
freediameter-libfdcore-1.5.0-r1.apk
2024-10-25 19:01
176K
freediameter-extensions-1.5.0-r1.apk
2024-10-25 19:01
391K
freediameter-dev-1.5.0-r1.apk
2024-10-25 19:01
54K
freediameter-1.5.0-r1.apk
2024-10-25 19:01
9.5K
freealut-dev-1.1.0-r1.apk
2024-10-25 19:01
23K
freealut-1.1.0-r1.apk
2024-10-25 19:01
18K
fq-0.16.0-r1.apk
2026-01-17 22:42
4.6M
fpp-doc-0.9.5-r0.apk
2024-10-25 19:01
5.6K
fpp-0.9.5-r0.apk
2024-10-25 19:01
29K
fpc-stage0-3.2.2-r3.apk
2024-10-25 19:01
6.3M
fpc-doc-3.2.2-r4.apk
2024-10-25 19:01
1.2M
fpc-3.2.2-r4.apk
2024-10-25 19:01
71M
formiko-pyc-1.5.0-r1.apk
2026-01-07 10:30
61K
formiko-doc-1.5.0-r1.apk
2026-01-07 10:30
8.5K
formiko-1.5.0-r1.apk
2026-01-07 10:30
107K
foolsm-openrc-1.0.21-r0.apk
2024-10-25 19:01
1.5K
foolsm-doc-1.0.21-r0.apk
2024-10-25 19:01
3.9K
foolsm-1.0.21-r0.apk
2024-10-25 19:01
33K
font-tiresias-doc-0_git20200704-r0.apk
2024-10-25 19:01
58K
font-tiresias-0_git20200704-r0.apk
2024-10-25 19:01
568K
font-tinos-0_git20210228-r0.apk
2024-10-25 19:01
199K
font-terminus-ttf-4.49.3-r0.apk
2025-07-11 19:54
538K
font-tamzen-1.11.5-r1.apk
2024-10-25 19:01
62K
font-stix-ttf-2.13-r0.apk
2024-10-25 19:01
430K
font-stix-otf-2.13-r0.apk
2024-10-25 19:01
2.0M
font-siji-20190218_git-r2.apk
2024-10-25 19:01
24K
font-openmoji-16.0.0-r0.apk
2025-08-11 06:31
1.4M
font-monocraft-4.0-r0.apk
2024-10-25 19:01
677K
font-monaspace-xenon-1.101-r0.apk
2024-10-25 19:01
2.3M
font-monaspace-radon-1.101-r0.apk
2024-10-25 19:01
2.7M
font-monaspace-neon-1.101-r0.apk
2024-10-25 19:01
2.1M
font-monaspace-krypton-1.101-r0.apk
2024-10-25 19:01
2.1M
font-monaspace-argon-1.101-r0.apk
2024-10-25 19:01
2.2M
font-monaspace-1.101-r0.apk
2024-10-25 19:01
1.5K
font-material-icons-4.0.0-r0.apk
2024-10-25 19:01
652K
font-katex-0.16.2-r0.apk
2024-10-25 19:01
852K
font-intel-one-mono-1.3.0-r0.apk
2024-10-25 19:01
281K
font-hanazono-20170904-r2.apk
2025-09-15 12:59
29M
font-fontawesome-4-4.7.0-r3.apk
2024-10-25 19:01
205K
font-firamath-0.3.4-r0.apk
2024-10-25 19:01
118K
font-fira-code-vf-6.2-r0.apk
2024-10-25 19:01
145K
font-fira-code-6.2-r0.apk
2024-10-25 19:01
836K
font-fantasque-sans-normal-1.8.0-r0.apk
2024-10-25 19:01
316K
font-fantasque-sans-noloopk-1.8.0-r0.apk
2024-10-25 19:01
316K
font-fantasque-sans-largelineheightnoloopk-1.8.0-r0.apk
2024-10-25 19:01
316K
font-fantasque-sans-largelineheight-1.8.0-r0.apk
2024-10-25 19:01
316K
font-fantasque-sans-doc-1.8.0-r0.apk
2024-10-25 19:01
5.5K
font-fantasque-sans-1.8.0-r0.apk
2024-10-25 19:01
1.2K
font-cousine-0_git20210228-r0.apk
2024-10-25 19:01
110K
font-commit-mono-1.143-r0.apk
2024-10-25 19:01
251K
font-comic-neue-doc-2.51-r0.apk
2024-10-25 19:01
1.0M
font-comic-neue-2.51-r0.apk
2024-10-25 19:01
249K
font-chivo-mono-0_git20221110-r0.apk
2024-10-25 19:01
626K
font-chivo-0_git20221110-r0.apk
2024-10-25 19:01
792K
font-cascadia-mono-2407.24-r1.apk
2025-05-27 14:33
507K
font-cascadia-code-2407.24-r1.apk
2025-05-27 14:33
526K
font-cascadia-2407.24-r1.apk
2025-05-27 14:33
1.3K
font-babelstone-han-15.1.3-r0.apk
2024-10-25 19:01
18M
font-aref-ruqaa-1.006-r0.apk
2025-04-13 21:31
357K
font-anonymous-pro-1.002-r2.apk
2024-10-25 19:01
264K
font-andika-six-6.210-r0.apk
2025-09-28 12:01
1.5M
foma-dev-0.10.0_git20240712-r0.apk
2024-10-25 19:01
8.5K
foma-0.10.0_git20240712-r0.apk
2024-10-25 19:01
331K
fnm-zsh-completion-1.38.1-r0.apk
2024-11-25 14:28
4.3K
fnm-fish-completion-1.38.1-r0.apk
2024-11-25 14:28
4.3K
fnm-bash-completion-1.38.1-r0.apk
2024-11-25 14:28
2.8K
fnm-1.38.1-r0.apk
2024-11-25 14:28
1.9M
fnf-doc-0.1-r0.apk
2024-10-25 19:01
4.6K
fnf-0.1-r0.apk
2024-10-25 19:01
16K
flutter-tool-developer-3.38.4-r2.apk
2026-01-14 05:56
1.7K
flutter-tool-3.38.4-r2.apk
2026-01-14 05:56
16M
flutter-gtk-3.38.4-r2.apk
2026-01-14 05:56
5.7M
flutter-glfw-3.38.4-r2.apk
2026-01-14 05:56
5.6M
flutter-developer-3.38.4-r2.apk
2026-01-14 05:56
2.5M
flutter-desktop-3.38.4-r2.apk
2026-01-14 05:56
27M
flutter-common-3.38.4-r2.apk
2026-01-14 05:56
36M
flutter-3.38.4-r2.apk
2026-01-14 05:56
1.3K
fluent-bit-openrc-4.2.0-r0.apk
2025-12-04 22:48
1.7K
fluent-bit-dev-4.2.0-r0.apk
2025-12-04 22:48
141K
fluent-bit-4.2.0-r0.apk
2025-12-04 22:48
8.0M
flowd-openrc-0.9.1-r11.apk
2025-06-30 08:08
1.9K
flowd-doc-0.9.1-r11.apk
2025-06-30 08:08
10K
flowd-dev-0.9.1-r11.apk
2025-06-30 08:08
8.1K
flowd-0.9.1-r11.apk
2025-06-30 08:08
77K
flightgear-zsh-completion-2024.1.1-r0.apk
2025-03-05 00:17
7.3K
flightgear-doc-2024.1.1-r0.apk
2025-03-05 00:17
58K
flightgear-dbg-2024.1.1-r0.apk
2025-03-05 00:17
22M
flightgear-bash-completion-2024.1.1-r0.apk
2025-03-05 00:17
5.5K
flightgear-2024.1.1-r0.apk
2025-03-05 00:17
11M
flawz-zsh-completion-0.3.0-r0.apk
2024-11-03 21:07
2.2K
flawz-fish-completion-0.3.0-r0.apk
2024-11-03 21:07
1.9K
flawz-doc-0.3.0-r0.apk
2024-11-03 21:07
6.0K
flawz-bash-completion-0.3.0-r0.apk
2024-11-03 21:07
2.1K
flawz-0.3.0-r0.apk
2024-11-03 21:07
1.2M
flauschige-uhr-0.1-r1.apk
2024-10-25 19:01
4.2K
flatseal-lang-2.3.1-r0.apk
2025-06-19 15:30
79K
flatseal-doc-2.3.1-r0.apk
2025-06-19 15:30
8.5K
flatseal-2.3.1-r0.apk
2025-06-19 15:30
43K
flare-game-1.14-r0.apk
2024-10-25 19:01
2.2K
flare-engine-doc-1.14-r1.apk
2025-11-07 17:28
2.5K
flare-engine-1.14-r1.apk
2025-11-07 17:28
4.4M
flannel-openrc-0.28.0-r1.apk
2026-01-17 22:42
2.0K
flannel-contrib-cni-0.28.0-r1.apk
2026-01-17 22:42
4.3K
flannel-0.28.0-r1.apk
2026-01-17 22:42
15M
flann-doc-1.9.2-r1.apk
2025-02-22 14:38
2.5K
flann-dev-1.9.2-r1.apk
2025-02-22 14:38
963K
flann-1.9.2-r1.apk
2025-02-22 14:38
1.7M
flamelens-doc-0.3.1-r0.apk
2025-04-29 07:46
3.7K
flamelens-0.3.1-r0.apk
2025-04-29 07:46
1.4M
firehol-openrc-3.1.7-r2.apk
2024-10-25 19:01
2.1K
firehol-doc-3.1.7-r2.apk
2024-10-25 19:01
675K
firehol-3.1.7-r2.apk
2024-10-25 19:01
85K
firefox-developer-edition-147.0_beta6-r1.apk
2026-01-14 05:56
90M
firectl-0.2.0-r25.apk
2026-01-17 22:42
3.7M
finger-doc-0.5-r0.apk
2024-10-25 19:00
3.8K
finger-0.5-r0.apk
2024-10-25 19:00
6.4K
findtow-0.1-r0.apk
2024-10-25 19:00
4.8K
fileshelter-openrc-6.2.0-r4.apk
2025-09-27 22:22
1.7K
fileshelter-6.2.0-r4.apk
2025-09-27 22:22
305K
filebrowser-openrc-2.27.0-r17.apk
2026-01-17 22:42
1.8K
filebrowser-2.27.0-r17.apk
2026-01-17 22:42
7.7M
fildesh-vim-0.2.0-r0.apk
2024-10-25 19:00
3.5K
fildesh-doc-0.2.0-r0.apk
2024-10-25 19:00
2.1K
fildesh-0.2.0-r0.apk
2024-10-25 19:00
67K
fheroes2-lang-1.1.13-r0.apk
2025-12-22 16:41
1.8M
fheroes2-1.1.13-r0.apk
2025-12-22 16:41
1.8M
ffsend-zsh-completion-0.2.76-r4.apk
2024-10-25 19:00
4.6K
ffsend-fish-completion-0.2.76-r4.apk
2024-10-25 19:00
3.6K
ffsend-bash-completion-0.2.76-r4.apk
2024-10-25 19:00
3.6K
ffsend-0.2.76-r4.apk
2024-10-25 19:00
1.5M
ffms2-doc-5.0-r2.apk
2025-09-27 14:27
30K
ffms2-dev-5.0-r2.apk
2025-09-27 14:27
7.5K
ffms2-5.0-r2.apk
2025-09-27 14:27
70K
ffmpeg4-libswscale-4.4.6-r0.apk
2026-01-04 01:25
174K
ffmpeg4-libswresample-4.4.6-r0.apk
2026-01-04 01:25
49K
ffmpeg4-libpostproc-4.4.6-r0.apk
2026-01-04 01:25
61K
ffmpeg4-libavutil-4.4.6-r0.apk
2026-01-04 01:25
279K
ffmpeg4-libavformat-4.4.6-r0.apk
2026-01-04 01:25
1.2M
ffmpeg4-libavfilter-4.4.6-r0.apk
2026-01-04 01:25
1.5M
ffmpeg4-libavdevice-4.4.6-r0.apk
2026-01-04 01:25
47K
ffmpeg4-libavcodec-4.4.6-r0.apk
2026-01-04 01:25
6.5M
ffmpeg4-dev-4.4.6-r0.apk
2026-01-04 01:25
281K
ffmpeg4-4.4.6-r0.apk
2026-01-04 01:25
46K
fff-doc-2.2-r0.apk
2024-10-25 19:00
9.0K
fff-2.2-r0.apk
2024-10-25 19:00
11K
femto-doc-2.24.1-r0.apk
2025-09-06 16:20
49K
femto-2.24.1-r0.apk
2025-09-06 16:20
66K
felix-2.16.1-r0.apk
2025-05-16 08:59
648K
featherpad-lang-1.6.2-r0.apk
2025-11-28 19:39
485K
featherpad-1.6.2-r0.apk
2025-11-28 19:39
730K
fdm-materials-5.2.2-r1.apk
2024-10-25 19:00
60K
fcitx5-mozc-lang-2.32.5994.102.20251109-r0.apk
2025-11-14 21:57
8.5K
fcitx5-mozc-doc-2.32.5994.102.20251109-r0.apk
2025-11-14 21:57
20K
fcitx5-mozc-2.32.5994.102.20251109-r0.apk
2025-11-14 21:57
16M
fceux-doc-2.6.6-r4.apk
2025-09-27 14:27
105K
fceux-2.6.6-r4.apk
2025-09-27 14:27
2.9M
fbdebug-1.0.1-r0.apk
2025-12-19 21:47
5.2K
fbcur-doc-1.0.1-r1.apk
2024-10-25 19:00
2.2K
fbcur-1.0.1-r1.apk
2024-10-25 19:00
4.5K
fava-pyc-1.28-r0.apk
2024-10-25 19:00
164K
fava-1.28-r0.apk
2024-10-25 19:00
1.1M
faust-vim-2.79.3-r0.apk
2025-06-07 17:01
2.6K
faust-tools-2.79.3-r0.apk
2025-06-07 17:01
120K
faust-static-2.79.3-r0.apk
2025-06-07 17:01
521K
faust-doc-2.79.3-r0.apk
2025-06-07 17:01
17M
faust-dev-2.79.3-r0.apk
2025-06-07 17:01
1.4M
faust-2.79.3-r0.apk
2025-06-07 17:01
8.1M
faultstat-doc-0.01.11-r0.apk
2024-10-25 19:00
3.0K
faultstat-bash-completion-0.01.11-r0.apk
2024-10-25 19:00
2.3K
faultstat-0.01.11-r0.apk
2024-10-25 19:00
12K
fatresize-doc-1.1.0-r1.apk
2024-10-25 19:00
15K
fatresize-1.1.0-r1.apk
2024-10-25 19:00
8.7K
fatrace-doc-0.18.0-r0.apk
2025-07-27 19:28
3.3K
fatrace-0.18.0-r0.apk
2025-07-27 19:28
9.9K
fathom-1.3.1-r19.apk
2026-01-17 22:42
5.1M
fatback-doc-1.3-r2.apk
2024-10-25 19:00
16K
fatback-1.3-r2.apk
2024-10-25 19:00
28K
fastd-openrc-23-r0.apk
2025-01-29 16:00
1.7K
fastd-doc-23-r0.apk
2025-01-29 16:00
3.3K
fastd-23-r0.apk
2025-01-29 16:00
73K
fast-double-parser-0.8.1-r0.apk
2025-10-20 10:31
25K
fakeroot-tcp-1.32.1-r1.apk
2024-10-25 19:00
28K
faircamp-1.7.0-r1.apk
2026-01-12 23:13
2.5M
fabric-pyc-3.2.2-r1.apk
2024-10-25 19:00
60K
fabric-3.2.2-r1.apk
2024-10-25 19:00
55K
extundelete-0.2.4-r1.apk
2024-10-25 19:00
39K
extremetuxracer-doc-0.8.3-r0.apk
2024-10-25 19:00
6.7K
extremetuxracer-0.8.3-r0.apk
2024-10-25 19:00
40M
extrace-doc-0.9-r0.apk
2024-10-25 19:00
3.5K
extrace-0.9-r0.apk
2024-10-25 19:00
9.4K
exercism-zsh-completion-3.2.0-r19.apk
2026-01-17 22:42
2.1K
exercism-fish-completion-3.2.0-r19.apk
2026-01-17 22:42
2.4K
exercism-bash-completion-3.2.0-r19.apk
2026-01-17 22:42
2.0K
exercism-3.2.0-r19.apk
2026-01-17 22:42
4.3M
exabgp-pyc-4.2.24-r1.apk
2025-09-06 16:20
778K
exabgp-openrc-4.2.24-r1.apk
2025-09-06 16:20
2.3K
exabgp-doc-4.2.24-r1.apk
2025-09-06 16:20
8.1K
exabgp-4.2.24-r1.apk
2025-09-06 16:20
385K
eww-0.6.0-r0.apk
2025-11-09 19:47
2.9M
evolution-on-3.24.4-r1.apk
2025-09-18 17:36
9.9K
evolution-etesync-lang-1.1.2-r0.apk
2026-01-11 18:31
25K
evolution-etesync-1.1.2-r0.apk
2026-01-11 18:31
53K
eva-0.3.1-r2.apk
2024-10-25 19:00
632K
ettercap-doc-0.8.3.1-r3.apk
2024-10-25 19:00
45K
ettercap-0.8.3.1-r3.apk
2024-10-25 19:00
556K
esptool-pyc-4.8.1-r0.apk
2024-10-25 19:00
549K
esptool-4.8.1-r0.apk
2024-10-25 19:00
424K
espeakup-openrc-0.90-r2.apk
2024-10-25 19:00
1.8K
espeakup-0.90-r2.apk
2024-10-25 19:00
11K
errands-lang-46.2.10-r0.apk
2026-01-07 00:06
78K
errands-46.2.10-r0.apk
2026-01-07 00:06
85K
ergo-ldap-doc-0.0.1-r23.apk
2026-01-17 22:42
2.3K
ergo-ldap-0.0.1-r23.apk
2026-01-17 22:42
2.3M
epr-pyc-2.4.15-r1.apk
2024-10-25 19:00
24K
epr-2.4.15-r1.apk
2024-10-25 19:00
16K
epoch-1.3.0-r2.apk
2024-10-25 19:00
55K
envsubst-0.1-r1.apk
2024-10-25 19:00
4.7K
envconsul-0.13.4-r2.apk
2026-01-17 22:42
5.2M
enlighten-doc-0.9.2-r1.apk
2024-10-25 19:00
3.5K
enlighten-0.9.2-r1.apk
2024-10-25 19:00
7.0K
enjoy-0.3-r1.apk
2024-10-25 19:00
12K
endeavour-lang-43.0-r2.apk
2024-12-08 21:48
203K
endeavour-doc-43.0-r2.apk
2024-12-08 21:48
68K
endeavour-dev-43.0-r2.apk
2024-12-08 21:48
46K
endeavour-43.0-r2.apk
2024-12-08 21:48
191K
emulationstation-theme-gbz35-2.11.2-r1.apk
2024-10-25 19:00
3.3M
emulationstation-2.11.2-r1.apk
2024-10-25 19:00
1.3M
empede-openrc-0.2.3-r0.apk
2024-10-25 19:00
1.9K
empede-doc-0.2.3-r0.apk
2024-10-25 19:00
2.3K
empede-0.2.3-r0.apk
2024-10-25 19:00
1.6M
emmylua-ls-doc-0.19.0-r0.apk
2026-01-24 12:54
37K
emmylua-ls-0.19.0-r0.apk
2026-01-24 12:54
2.9M
emmylua-doc-cli-0.19.0-r0.apk
2026-01-24 12:54
2.3M
emmylua-check-0.19.0-r0.apk
2026-01-24 12:54
1.9M
emacs-persist-0.6_git20240114-r0.apk
2024-10-25 19:00
6.6K
emacs-lsp-booster-doc-0.2.1-r0.apk
2025-04-13 21:31
2.3K
emacs-lsp-booster-0.2.1-r0.apk
2025-04-13 21:31
410K
emacs-ement-0.16-r0.apk
2025-04-23 05:11
291K
eludris-doc-0.3.3-r1.apk
2024-10-25 19:00
2.3K
eludris-0.3.3-r1.apk
2024-10-25 19:00
1.9M
elf_diff-pyc-0.7.1-r3.apk
2024-10-25 19:00
108K
elf_diff-0.7.1-r3.apk
2024-10-25 19:00
108K
elementary-videos-lang-8.0.2-r0.apk
2025-09-02 02:28
83K
elementary-videos-8.0.2-r0.apk
2025-09-02 02:28
114K
elementary-theme-8.1.0-r0.apk
2025-01-12 20:52
1.5M
elementary-sound-theme-1.1.0-r0.apk
2024-11-10 22:08
83K
elementary-settings-daemon-openrc-8.3.0-r0.apk
2025-05-26 09:05
1.8K
elementary-settings-daemon-lang-8.3.0-r0.apk
2025-05-26 09:05
74K
elementary-settings-daemon-8.3.0-r0.apk
2025-05-26 09:05
82K
elementary-photos-lang-8.0.1-r2.apk
2026-01-21 15:51
1.0M
elementary-photos-8.0.1-r2.apk
2026-01-21 15:51
1.2M
elementary-music-lang-8.0.0-r0.apk
2024-10-28 22:06
47K
elementary-music-8.0.0-r0.apk
2024-10-28 22:06
73K
elementary-icon-theme-8.1.0-r0.apk
2025-05-14 00:10
5.0M
elementary-feedback-lang-8.1.0-r0.apk
2025-11-22 15:38
48K
elementary-feedback-8.1.0-r0.apk
2025-11-22 15:38
46K
elementary-dock-lang-8.0.2-r0.apk
2025-05-24 22:57
28K
elementary-dock-8.0.2-r0.apk
2025-05-24 22:57
88K
elementary-camera-lang-8.0.2-r0.apk
2025-09-02 02:25
35K
elementary-camera-8.0.2-r0.apk
2025-09-02 02:25
85K
elementary-calculator-lang-8.0.1-r0.apk
2025-09-02 02:29
59K
elementary-calculator-8.0.1-r0.apk
2025-09-02 02:29
72K
element-desktop-1.12.9-r0.apk
2026-01-27 17:42
31M
electron-tasje-0.7.5-r0.apk
2026-01-27 17:42
1.2M
electron-lang-39.2.7-r0.apk
2025-12-18 19:03
11M
electron-dev-39.2.7-r0.apk
2025-12-18 19:03
341K
electron-39.2.7-r0.apk
2025-12-18 19:03
97M
eiwd-openrc-3.10-r0.apk
2025-10-07 11:53
1.9K
eiwd-doc-3.10-r0.apk
2025-10-07 11:53
21K
eiwd-3.10-r0.apk
2025-10-07 11:53
857K
efl-gdb-1.28.1-r2.apk
2025-03-24 04:33
1.7K
efl-dev-1.28.1-r2.apk
2025-03-24 04:33
1.8M
efl-1.28.1-r2.apk
2025-03-24 04:33
34M
edward-doc-1.1.0-r0.apk
2024-10-25 19:00
5.3K
edward-1.1.0-r0.apk
2024-10-25 19:00
2.0M
edit-doc-1.2.1-r0.apk
2025-10-16 13:17
2.2K
edit-1.2.1-r0.apk
2025-10-16 13:17
249K
ecos-dev-2.0.10-r0.apk
2024-10-25 19:00
28K
ecos-2.0.10-r0.apk
2024-10-25 19:00
39K
eclipse-ecj-4.37-r0.apk
2025-09-22 13:04
2.5M
eccodes-2.45.0-r0.apk
2026-01-19 18:01
11M
ecasound-doc-2.9.3-r4.apk
2025-02-24 21:28
38K
ecasound-dev-2.9.3-r4.apk
2025-02-24 21:28
1.1M
ecasound-2.9.3-r4.apk
2025-02-24 21:28
674K
eatmemory-0.1.6-r2.apk
2024-10-25 19:00
4.3K
e16-lang-1.0.30-r0.apk
2024-11-05 13:22
380K
e16-doc-1.0.30-r0.apk
2024-11-05 13:22
27K
e16-1.0.30-r0.apk
2024-11-05 13:22
783K
dwl-doc-0.7-r0.apk
2024-10-25 19:00
3.1K
dwl-0.7-r0.apk
2024-10-25 19:00
27K
dvisvgm-doc-3.4.4-r0.apk
2025-05-18 22:44
26K
dvisvgm-3.4.4-r0.apk
2025-05-18 22:44
1.1M
dvdbackup-lang-0.4.2-r1.apk
2024-10-25 19:00
1.4K
dvdbackup-doc-0.4.2-r1.apk
2024-10-25 19:00
7.6K
dvdbackup-0.4.2-r1.apk
2024-10-25 19:00
16K
dustracing2d-2.1.1-r1.apk
2024-10-25 19:00
5.1M
dum-0.1.20-r1.apk
2025-04-03 00:11
347K
dulcepan-1.0.2-r0.apk
2024-10-25 19:00
20K
duf-doc-0.9.1-r3.apk
2026-01-17 22:42
4.7K
duf-0.9.1-r3.apk
2026-01-17 22:42
1.3M
duckdb-libs-1.4.3-r1.apk
2026-01-08 15:48
19M
duckdb-doc-1.4.3-r1.apk
2026-01-08 15:48
2.2K
duckdb-dev-1.4.3-r1.apk
2026-01-08 15:48
26M
duckdb-1.4.3-r1.apk
2026-01-08 15:48
18M
duc-doc-1.4.5-r0.apk
2024-10-25 19:00
9.1K
duc-1.4.5-r0.apk
2024-10-25 19:00
84K
dublin-traceroute-doc-0.4.2-r4.apk
2024-10-25 19:00
2.3K
dublin-traceroute-dev-0.4.2-r4.apk
2024-10-25 19:00
6.9K
dublin-traceroute-contrib-0.4.2-r4.apk
2024-10-25 19:00
2.9K
dublin-traceroute-0.4.2-r4.apk
2024-10-25 19:00
45K
dstask-zsh-completion-0.27-r5.apk
2026-01-17 22:42
1.7K
dstask-import-0.27-r5.apk
2026-01-17 22:42
3.7M
dstask-fish-completion-0.27-r5.apk
2026-01-17 22:42
1.7K
dstask-bash-completion-0.27-r5.apk
2026-01-17 22:42
2.2K
dstask-0.27-r5.apk
2026-01-17 22:42
1.6M
drupal7-doc-7.103-r0.apk
2024-12-04 17:27
57K
drupal7-7.103-r0.apk
2024-12-04 17:27
3.3M
drumgizmo-0.9.20-r1.apk
2024-10-25 19:00
395K
dropwatch-doc-1.5.5-r2.apk
2025-12-04 22:48
3.7K
dropwatch-1.5.5-r2.apk
2025-12-04 22:48
15K
drone-cli-1.8.0-r16.apk
2026-01-17 22:42
6.1M
droidcam-gui-2.1.3-r3.apk
2025-09-27 14:27
27K
droidcam-2.1.3-r3.apk
2025-09-27 14:27
18K
drogon-doc-1.9.4-r2.apk
2025-05-22 07:16
2.3K
drogon-dev-1.9.4-r2.apk
2025-05-22 07:16
121K
drogon-1.9.4-r2.apk
2025-05-22 07:16
1.5M
draw-0.1.1-r19.apk
2026-01-17 22:42
1.0M
draco-tools-1.5.7-r2.apk
2025-02-22 14:38
1.2M
draco-static-1.5.7-r2.apk
2025-02-22 14:38
1.4M
draco-dev-1.5.7-r2.apk
2025-02-22 14:38
205K
draco-1.5.7-r2.apk
2025-02-22 14:38
817K
dprint-zsh-completion-0.49.1-r0.apk
2025-04-13 22:35
4.1K
dprint-fish-completion-0.49.1-r0.apk
2025-04-13 22:35
3.8K
dprint-doc-0.49.1-r0.apk
2025-04-13 22:35
3.2K
dprint-bash-completion-0.49.1-r0.apk
2025-04-13 22:35
3.2K
dprint-0.49.1-r0.apk
2025-04-13 22:35
4.1M
downloader-cli-0.3.4-r2.apk
2025-05-14 18:16
2.0K
dotenv-linter-4.0.0-r0.apk
2025-11-22 16:18
1.5M
dooit-pyc-3.3.3-r0.apk
2025-10-27 08:46
103K
dooit-extras-pyc-0.2.0-r0.apk
2024-12-07 20:27
23K
dooit-extras-0.2.0-r0.apk
2024-12-07 20:27
13K
dooit-3.3.3-r0.apk
2025-10-27 08:46
46K
dolt-1.79.1-r2.apk
2026-01-17 22:42
39M
dodo-pyc-0_git20250926-r0.apk
2025-09-27 21:58
89K
dodo-0_git20250926-r0.apk
2025-09-27 21:58
188K
dockerize-0.9.6-r3.apk
2026-01-17 22:42
3.6M
docker-volume-local-persist-openrc-1.3.0-r39.apk
2026-01-17 22:42
1.8K
docker-volume-local-persist-1.3.0-r39.apk
2026-01-17 22:42
2.7M
docker-machine-driver-kvm2-1.34.0-r11.apk
2026-01-17 22:42
4.4M
doasedit-1.0.9-r0.apk
2025-10-31 15:15
3.5K
dnssec-tools-doc-2.2.3-r13.apk
2025-06-30 08:08
317K
dnssec-tools-dev-2.2.3-r13.apk
2025-06-30 08:08
188K
dnssec-tools-2.2.3-r13.apk
2025-06-30 08:08
752K
dnsperf-doc-2.14.0-r0.apk
2024-10-25 18:59
35K
dnsperf-2.14.0-r0.apk
2024-10-25 18:59
71K
dnscrypt-wrapper-0.4.2-r3.apk
2024-10-25 18:59
29K
dnscontrol-doc-4.32.0-r0.apk
2026-01-25 18:47
2.3K
dnscontrol-4.32.0-r0.apk
2026-01-25 18:47
18M
dnote-zsh-completion-0.16.0-r1.apk
2026-01-17 22:42
2.0K
dnote-doc-0.16.0-r1.apk
2026-01-17 22:42
6.3K
dnote-bash-completion-0.16.0-r1.apk
2026-01-17 22:42
2.1K
dnote-0.16.0-r1.apk
2026-01-17 22:42
4.0M
dmenu-wl-doc-0.1-r0.apk
2025-07-02 10:32
4.1K
dmenu-wl-0.1-r0.apk
2025-07-02 10:32
17K
dmarc-metrics-exporter-pyc-1.2.0-r0.apk
2024-11-29 22:00
46K
dmarc-metrics-exporter-openrc-1.2.0-r0.apk
2024-11-29 22:00
1.8K
dmarc-metrics-exporter-1.2.0-r0.apk
2024-11-29 22:00
25K
dmarc-cat-0.15.0-r12.apk
2026-01-17 22:42
2.9M
dlib-dev-20.0-r0.apk
2026-01-17 22:42
2.4M
dlib-20.0-r0.apk
2026-01-17 22:42
773K
distroshelf-lang-1.3.0-r0.apk
2025-12-27 15:42
37K
distroshelf-1.3.0-r0.apk
2025-12-27 15:42
3.9M
dislocker-libs-0.7.3-r6.apk
2025-07-19 22:52
44K
dislocker-doc-0.7.3-r6.apk
2025-07-19 22:52
6.0K
dislocker-0.7.3-r6.apk
2025-07-19 22:52
11K
diskus-0.8.0-r0.apk
2025-05-18 22:20
338K
diskonaut-0.11.0-r3.apk
2024-10-25 18:59
440K
disfetch-3.7-r0.apk
2024-10-25 18:59
8.3K
diceware-pyc-1.0.1-r0.apk
2025-01-13 22:49
18K
diceware-1.0.1-r0.apk
2025-01-13 22:49
334K
dhewm3-1.5.4-r0.apk
2025-02-22 14:38
5.0M
dfu-programmer-doc-1.1.0-r0.apk
2024-10-25 18:59
5.8K
dfu-programmer-bash-completion-1.1.0-r0.apk
2024-10-25 18:59
2.8K
dfu-programmer-1.1.0-r0.apk
2024-10-25 18:59
35K
dfl-sni-dev-0.3.0-r0.apk
2025-08-21 07:47
5.0K
dfl-sni-0.3.0-r0.apk
2025-08-21 07:47
59K
dfl-login1-dev-0.3.0-r0.apk
2025-08-21 07:47
3.7K
dfl-login1-0.3.0-r0.apk
2025-08-21 07:47
34K
dfl-ipc-dev-0.3.0-r0.apk
2025-08-21 07:47
4.8K
dfl-ipc-0.3.0-r0.apk
2025-08-21 07:47
47K
dfl-applications-dev-0.3.0-r0.apk
2025-08-21 07:47
3.9K
dfl-applications-0.3.0-r0.apk
2025-08-21 07:47
64K
dewduct-0.2.3-r0.apk
2024-10-25 18:59
1.1M
devpod-zsh-completion-0.6.15-r10.apk
2026-01-17 22:42
4.0K
devpod-fish-completion-0.6.15-r10.apk
2026-01-17 22:42
4.3K
devpod-bash-completion-0.6.15-r10.apk
2026-01-17 22:42
5.1K
devpod-0.6.15-r10.apk
2026-01-17 22:42
25M
devil-dev-1.8.0-r0.apk
2024-10-25 18:59
13K
devil-1.8.0-r0.apk
2024-10-25 18:59
241K
deviced-openrc-0_git20250427-r0.apk
2025-07-05 20:03
1.7K
deviced-dev-0_git20250427-r0.apk
2025-07-05 20:03
26K
deviced-0_git20250427-r0.apk
2025-07-05 20:03
119K
detox-doc-2.0.0-r0.apk
2024-10-25 18:59
21K
detox-2.0.0-r0.apk
2024-10-25 18:59
108K
desync-0.9.6-r11.apk
2026-01-17 22:42
8.0M
desed-doc-1.2.1-r1.apk
2024-10-25 18:59
2.9K
desed-1.2.1-r1.apk
2024-10-25 18:59
386K
dehydrated-0.7.1-r0.apk
2024-10-25 18:59
26K
decoder-lang-0.7.0-r0.apk
2025-04-10 14:09
59K
decoder-0.7.0-r0.apk
2025-04-10 14:09
1.9M
deblob-doc-0.12-r0.apk
2025-10-14 22:47
3.8K
deblob-0.12-r0.apk
2025-10-14 22:47
110K
debconf-utils-1.5.82-r0.apk
2024-10-25 18:59
6.7K
debconf-lang-1.5.82-r0.apk
2024-10-25 18:59
132K
debconf-doc-1.5.82-r0.apk
2024-10-25 18:59
27K
debconf-bash-completion-1.5.82-r0.apk
2024-10-25 18:59
1.9K
debconf-1.5.82-r0.apk
2024-10-25 18:59
69K
deadbeef-soxr-20180801-r0.apk
2024-10-25 18:59
6.0K
ddserver-0_git20200930-r1.apk
2024-10-25 18:59
12K
ddgr-zsh-completion-2.2-r0.apk
2024-10-25 18:59
2.7K
ddgr-fish-completion-2.2-r0.apk
2024-10-25 18:59
2.3K
ddgr-doc-2.2-r0.apk
2024-10-25 18:59
12K
ddgr-bash-completion-2.2-r0.apk
2024-10-25 18:59
2.2K
ddgr-2.2-r0.apk
2024-10-25 18:59
20K
ddcci-driver-linux-src-0.4.5-r2.apk
2025-03-21 16:20
19K
dcnnt-pyc-0.10.0-r1.apk
2024-10-25 18:59
62K
dcnnt-doc-0.10.0-r1.apk
2024-10-25 18:59
6.6K
dcnnt-0.10.0-r1.apk
2024-10-25 18:59
28K
dcmtk-openrc-3.7.0-r0.apk
2025-12-28 20:54
1.7K
dcmtk-doc-3.7.0-r0.apk
2025-12-28 20:54
258K
dcmtk-dev-3.7.0-r0.apk
2025-12-28 20:54
1.7M
dcmtk-3.7.0-r0.apk
2025-12-28 20:54
1.2M
dbus-broker-doc-37-r0.apk
2025-06-17 10:22
5.9K
dbus-broker-37-r0.apk
2025-06-17 10:22
85K
dbmate-doc-2.28.0-r2.apk
2026-01-17 22:42
2.3K
dbmate-2.28.0-r2.apk
2026-01-17 22:42
11M
davmail-6.5.1-r0.apk
2025-11-14 23:27
8.3M
dasht-zsh-completion-2.4.0-r0.apk
2024-10-25 18:59
2.1K
dasht-doc-2.4.0-r0.apk
2024-10-25 18:59
11K
dasht-2.4.0-r0.apk
2024-10-25 18:59
14K
dartaotruntime-3.10.3-r1.apk
2026-01-14 05:56
1.5M
dart-stage0-3.10.0_alpha244_p0-r0.apk
2025-11-16 17:55
182M
dart-sdk-3.10.3-r1.apk
2026-01-14 05:56
136M
dart-sass-1.97.2-r0.apk
2026-01-09 21:26
1.4M
dart-3.10.3-r1.apk
2026-01-14 05:56
43M
darkreader-4.9.110-r0.apk
2025-08-21 08:58
767K
darkradiant-lang-3.9.0-r1.apk
2026-01-09 20:19
37K
darkradiant-doc-3.9.0-r1.apk
2026-01-09 20:19
2.2M
darkradiant-3.9.0-r1.apk
2026-01-09 20:19
9.1M
dam-doc-0_git20250728-r0.apk
2025-12-22 21:22
2.3K
dam-0_git20250728-r0.apk
2025-12-22 21:22
13K
daktilo-zsh-completion-0.6.0-r0.apk
2024-10-25 18:59
2.3K
daktilo-fish-completion-0.6.0-r0.apk
2024-10-25 18:59
1.9K
daktilo-doc-0.6.0-r0.apk
2024-10-25 18:59
8.7K
daktilo-bash-completion-0.6.0-r0.apk
2024-10-25 18:59
2.2K
daktilo-0.6.0-r0.apk
2024-10-25 18:59
1.8M
daemontools-openrc-0.76-r3.apk
2024-10-25 18:59
2.0K
daemontools-0.76-r3.apk
2024-10-25 18:59
61K
dacnis-doc-0.2.1-r0.apk
2026-01-27 15:13
2.4K
dacnis-0.2.1-r0.apk
2026-01-27 15:13
2.2M
cz-viator-hourglass-black-20210706-r0.apk
2024-10-25 18:59
219K
cyrus-sasl-xoauth2-static-0.2-r1.apk
2024-10-25 18:59
6.9K
cyrus-sasl-xoauth2-doc-0.2-r1.apk
2024-10-25 18:59
2.3K
cyrus-sasl-xoauth2-0.2-r1.apk
2024-10-25 18:59
6.7K
cvs-fast-export-tools-1.65-r0.apk
2024-10-25 18:59
8.7K
cvs-fast-export-doc-1.65-r0.apk
2024-10-25 18:59
17K
cvs-fast-export-1.65-r0.apk
2024-10-25 18:59
46K
cvise-pyc-2.11.0-r0.apk
2025-03-11 11:18
60K
cvise-2.11.0-r0.apk
2025-03-11 11:18
5.2M
cutechess-doc-1.3.1-r0.apk
2024-10-25 18:59
3.6K
cutechess-cli-doc-1.3.1-r0.apk
2024-10-25 18:59
6.6K
cutechess-cli-1.3.1-r0.apk
2024-10-25 18:59
324K
cutechess-1.3.1-r0.apk
2024-10-25 18:59
1.1M
curtail-lang-1.13.0-r0.apk
2025-07-05 20:40
78K
curtail-1.13.0-r0.apk
2025-07-05 20:40
30K
curlftpfs-doc-0.9.2-r3.apk
2024-10-25 18:59
6.1K
curlftpfs-0.9.2-r3.apk
2024-10-25 18:59
25K
cura-lang-5.2.2-r1.apk
2024-10-25 18:59
4.1M
cura-5.2.2-r1.apk
2024-10-25 18:59
42M
cups-pdf-3.0.2-r0.apk
2025-07-04 21:11
22K
ctorrent-dnh-3.3.2-r2.apk
2024-10-25 18:59
86K
csol-doc-1.6.0-r0.apk
2024-10-25 18:59
3.8K
csol-1.6.0-r0.apk
2024-10-25 18:59
38K
csmith-doc-2.3.0-r2.apk
2024-10-25 18:59
3.1K
csmith-2.3.0-r2.apk
2024-10-25 18:59
304K
csfml-doc-2.5.2-r0.apk
2024-10-25 18:59
204K
csfml-dev-2.5.2-r0.apk
2024-10-25 18:59
77K
csfml-2.5.2-r0.apk
2024-10-25 18:59
93K
cscope-doc-15.9-r1.apk
2024-10-25 18:59
7.5K
cscope-15.9-r1.apk
2024-10-25 18:59
151K
crun-vm-doc-0.3.0-r0.apk
2024-11-12 11:40
13K
crun-vm-0.3.0-r0.apk
2024-11-12 11:40
1.1M
crowdsec-splunk-plugin-1.7.6-r0.apk
2026-01-25 19:04
6.4M
crowdsec-slack-plugin-1.7.6-r0.apk
2026-01-25 19:04
6.5M
crowdsec-sentinel-plugin-1.7.6-r0.apk
2026-01-25 19:04
6.4M
crowdsec-openrc-1.7.6-r0.apk
2026-01-25 19:04
1.8K
crowdsec-http-plugin-1.7.6-r0.apk
2026-01-25 19:04
6.5M
crowdsec-file-plugin-1.7.6-r0.apk
2026-01-25 19:04
6.5M
crowdsec-email-plugin-1.7.6-r0.apk
2026-01-25 19:04
6.5M
crowdsec-1.7.6-r0.apk
2026-01-25 19:04
41M
crow-translate-lang-4.0.2-r0.apk
2025-09-22 01:17
554K
crow-translate-4.0.2-r0.apk
2025-09-22 01:17
11M
crossplane-pyc-0.5.8-r3.apk
2024-10-25 18:59
39K
crossplane-0.5.8-r3.apk
2024-10-25 18:59
30K
criu-doc-3.19-r3.apk
2025-06-12 13:59
24K
criu-dev-3.19-r3.apk
2025-06-12 13:59
91K
criu-3.19-r3.apk
2025-06-12 13:59
684K
crispy-doom-doc-7.1-r0.apk
2025-09-24 02:49
107K
crispy-doom-7.1-r0.apk
2025-09-24 02:49
1.8M
createrepo_c-libs-1.1.4-r1.apk
2025-12-30 20:57
88K
createrepo_c-doc-1.1.4-r1.apk
2025-12-30 20:57
8.6K
createrepo_c-dev-1.1.4-r1.apk
2025-12-30 20:57
31K
createrepo_c-bash-completion-1.1.4-r1.apk
2025-12-30 20:57
2.9K
createrepo_c-1.1.4-r1.apk
2025-12-30 20:57
46K
crazydiskinfo-1.1.0-r1.apk
2024-10-25 18:59
34K
cpufetch-doc-1.07-r0.apk
2025-11-01 10:01
3.2K
cpufetch-1.07-r0.apk
2025-11-01 10:01
46K
cpuburn-1.4a_git20160316-r2.apk
2024-10-25 18:59
4.1K
cpu-x-zsh-completion-5.2.0-r1.apk
2025-05-06 10:05
2.1K
cpu-x-lang-5.2.0-r1.apk
2025-05-06 10:05
266K
cpu-x-fish-completion-5.2.0-r1.apk
2025-05-06 10:05
2.2K
cpu-x-bash-completion-5.2.0-r1.apk
2025-05-06 10:05
2.0K
cpu-x-5.2.0-r1.apk
2025-05-06 10:05
2.1M
cproc-doc-0_git20240427-r1.apk
2024-11-03 21:51
2.8K
cproc-dbg-0_git20240427-r1.apk
2024-11-03 21:51
121K
cproc-0_git20240427-r1.apk
2024-11-03 21:51
52K
cpplint-pyc-2.0.2-r0.apk
2025-04-13 23:26
99K
cpplint-2.0.2-r0.apk
2025-04-13 23:26
80K
cpp-httplib-doc-0.30.1-r0.apk
2026-01-10 15:30
14K
cpp-httplib-0.30.1-r0.apk
2026-01-10 15:30
96K
cpiped-0.1.0-r0.apk
2024-10-25 18:59
6.4K
cowsay-doc-3.04-r2.apk
2024-10-25 18:59
4.0K
cowsay-3.04-r2.apk
2024-10-25 18:59
18K
cosmopolitan-doc-1.0-r0.apk
2024-10-25 18:59
152K
cosmopolitan-1.0-r0.apk
2024-10-25 18:59
5.5M
cortex-tenant-openrc-1.15.7-r3.apk
2026-01-17 22:42
2.0K
cortex-tenant-1.15.7-r3.apk
2026-01-17 22:42
4.4M
corosync-openrc-3.1.10-r0.apk
2025-12-27 10:38
1.8K
corosync-doc-3.1.10-r0.apk
2025-12-27 10:38
191K
corosync-dev-3.1.10-r0.apk
2025-12-27 10:38
483K
corosync-3.1.10-r0.apk
2025-12-27 10:38
275K
copyq-doc-13.0.0-r1.apk
2025-12-04 22:48
3.5K
copyq-bash-completion-13.0.0-r1.apk
2025-12-04 22:48
2.3K
copyq-13.0.0-r1.apk
2025-12-04 22:48
2.6M
convert2json-yaml-json-2.4.1-r0.apk
2025-12-15 16:18
265K
convert2json-yaml-jaq-2.4.1-r0.apk
2025-12-15 16:18
276K
convert2json-yaml-2.4.1-r0.apk
2025-12-15 16:18
1.3K
convert2json-xml-json-2.4.1-r0.apk
2025-12-15 16:18
202K
convert2json-xml-jaq-2.4.1-r0.apk
2025-12-15 16:18
212K
convert2json-xml-2.4.1-r0.apk
2025-12-15 16:18
1.3K
convert2json-toml-json-2.4.1-r0.apk
2025-12-15 16:18
240K
convert2json-toml-jaq-2.4.1-r0.apk
2025-12-15 16:18
251K
convert2json-toml-2.4.1-r0.apk
2025-12-15 16:18
1.3K
convert2json-rsv-json-2.4.1-r0.apk
2025-12-15 16:18
164K
convert2json-rsv-jaq-2.4.1-r0.apk
2025-12-15 16:18
177K
convert2json-rsv-2.4.1-r0.apk
2025-12-15 16:18
1.3K
convert2json-plist-json-2.4.1-r0.apk
2025-12-15 16:18
244K
convert2json-plist-jaq-2.4.1-r0.apk
2025-12-15 16:18
254K
convert2json-plist-2.4.1-r0.apk
2025-12-15 16:18
1.3K
convert2json-messagepack-json-2.4.1-r0.apk
2025-12-15 16:18
200K
convert2json-messagepack-jaq-2.4.1-r0.apk
2025-12-15 16:18
211K
convert2json-messagepack-2.4.1-r0.apk
2025-12-15 16:18
1.3K
convert2json-json-2.4.1-r0.apk
2025-12-15 16:18
1.3K
convert2json-jaq-2.4.1-r0.apk
2025-12-15 16:18
1.4K
convert2json-ini-json-2.4.1-r0.apk
2025-12-15 16:18
186K
convert2json-ini-jaq-2.4.1-r0.apk
2025-12-15 16:18
197K
convert2json-ini-2.4.1-r0.apk
2025-12-15 16:18
1.3K
convert2json-doc-2.4.1-r0.apk
2025-12-15 16:18
13K
convert2json-csv-json-2.4.1-r0.apk
2025-12-15 16:18
221K
convert2json-csv-jaq-2.4.1-r0.apk
2025-12-15 16:18
232K
convert2json-csv-2.4.1-r0.apk
2025-12-15 16:18
1.3K
convert2json-cbor-json-2.4.1-r0.apk
2025-12-15 16:18
204K
convert2json-cbor-jaq-2.4.1-r0.apk
2025-12-15 16:18
214K
convert2json-cbor-2.4.1-r0.apk
2025-12-15 16:18
1.3K
convert2json-bson-json-2.4.1-r0.apk
2025-12-15 16:18
240K
convert2json-bson-jaq-2.4.1-r0.apk
2025-12-15 16:18
250K
convert2json-bson-2.4.1-r0.apk
2025-12-15 16:18
1.3K
convert2json-2.4.1-r0.apk
2025-12-15 16:18
1.3K
contractor-0.3.5-r0.apk
2024-11-12 21:55
26K
consul-replicate-0.4.0-r37.apk
2026-01-17 22:42
3.0M
console_bridge-dev-1.0.2-r0.apk
2024-10-25 18:59
4.7K
console_bridge-1.0.2-r0.apk
2024-10-25 18:59
9.6K
conserver-openrc-8.2.7-r0.apk
2025-12-18 19:45
1.7K
conserver-doc-8.2.7-r0.apk
2025-12-18 19:45
28K
conserver-8.2.7-r0.apk
2025-12-18 19:45
130K
conntracct-openrc-0.2.7-r37.apk
2026-01-17 22:42
1.9K
conntracct-0.2.7-r37.apk
2026-01-17 22:42
5.3M
comrak-doc-0.50.0-r0.apk
2026-01-22 12:39
11K
comrak-0.50.0-r0.apk
2026-01-22 12:39
1.0M
compiz-utils-0.9.14.2-r13.apk
2025-11-29 00:00
3.3K
compiz-pyc-0.9.14.2-r13.apk
2025-11-29 00:00
111K
compiz-lang-0.9.14.2-r13.apk
2025-11-29 00:00
1.2M
compiz-dev-0.9.14.2-r13.apk
2025-11-29 00:00
117K
compiz-0.9.14.2-r13.apk
2025-11-29 00:00
5.9M
commoncpp-tools-7.0.1-r1.apk
2024-10-25 18:59
47K
commoncpp-doc-7.0.1-r1.apk
2024-10-25 18:59
15K
commoncpp-dev-7.0.1-r1.apk
2024-10-25 18:59
173K
commoncpp-7.0.1-r1.apk
2024-10-25 18:59
289K
commit-lsp-0.1.0-r0.apk
2025-05-10 03:12
2.2M
comics-downloader-gui-0.33.8-r16.apk
2026-01-17 22:42
5.7M
comics-downloader-0.33.8-r16.apk
2026-01-17 22:42
3.9M
comet-0.3.2-r0.apk
2026-01-05 01:45
3.0M
colorpicker-0_git20201128-r1.apk
2024-10-25 18:59
4.2K
colormake-doc-0.9.20170221-r0.apk
2024-10-25 18:59
2.7K
colormake-0.9.20170221-r0.apk
2024-10-25 18:59
4.0K
coldbrew-1.0-r0.apk
2026-01-07 00:06
3.9K
codec2-dev-1.2.0-r1.apk
2025-11-22 18:51
15K
codec2-1.2.0-r1.apk
2025-11-22 18:51
666K
code-oss-zsh-completion-1.105.1-r1.apk
2025-11-10 21:45
2.7K
code-oss-bash-completion-1.105.1-r1.apk
2025-11-10 21:45
2.2K
code-oss-1.105.1-r1.apk
2025-11-10 21:45
26M
code-minimap-doc-0.6.7-r0.apk
2024-12-12 19:36
8.0K
code-minimap-0.6.7-r0.apk
2024-12-12 19:36
356K
cocogitto-zsh-completion-6.5.0-r0.apk
2025-11-02 18:21
3.2K
cocogitto-fish-completion-6.5.0-r0.apk
2025-11-02 18:21
3.8K
cocogitto-doc-6.5.0-r0.apk
2025-11-02 18:21
39K
cocogitto-bash-completion-6.5.0-r0.apk
2025-11-02 18:21
3.2K
cocogitto-6.5.0-r0.apk
2025-11-02 18:21
1.8M
coccinelle-doc-1.1.1-r2.apk
2024-10-25 18:59
16K
coccinelle-bash-completion-1.1.1-r2.apk
2024-10-25 18:59
2.9K
coccinelle-1.1.1-r2.apk
2024-10-25 18:59
6.7M
cobang-lang-2.3.1-r0.apk
2026-01-07 00:06
16K
cobang-2.3.1-r0.apk
2026-01-07 00:06
50K
cmusfm-0.5.0-r1.apk
2025-08-27 04:14
15K
cm256cc-dev-1.1.1-r1.apk
2025-02-08 23:48
15K
cm256cc-1.1.1-r1.apk
2025-02-08 23:48
9.3K
cluster-glue-libs-1.0.12-r5.apk
2024-10-25 18:59
112K
cluster-glue-doc-1.0.12-r5.apk
2024-10-25 18:59
33K
cluster-glue-dev-1.0.12-r5.apk
2024-10-25 18:59
1.0M
cluster-glue-1.0.12-r5.apk
2024-10-25 18:59
261K
cloudfoundry-cli-8.7.9-r15.apk
2026-01-17 22:42
9.4M
cloudflared-openrc-2025.11.1-r12.apk
2026-01-17 22:42
1.8K
cloudflared-doc-2025.11.1-r12.apk
2026-01-17 22:42
1.9K
cloudflared-2025.11.1-r12.apk
2026-01-17 22:42
10M
cloud-hypervisor-doc-48.0-r0.apk
2025-10-28 07:21
68K
cloud-hypervisor-48.0-r0.apk
2025-10-28 07:21
2.8M
cliquer-tests-1.23-r0.apk
2025-08-12 04:15
24K
cliquer-static-1.23-r0.apk
2025-08-12 04:15
27K
cliquer-libs-1.23-r0.apk
2025-08-12 04:15
24K
cliquer-dev-1.23-r0.apk
2025-08-12 04:15
7.5K
cliquer-1.23-r0.apk
2025-08-12 04:15
7.1K
clipit-doc-1.4.5-r3.apk
2024-10-25 18:59
2.4K
clipit-1.4.5-r3.apk
2024-10-25 18:59
65K
cliphist-fzf-0.7.0-r2.apk
2026-01-17 22:42
1.8K
cliphist-0.7.0-r2.apk
2026-01-17 22:42
1.0M
clinfo-doc-3.0.23.01.25-r0.apk
2024-10-25 18:59
6.5K
clinfo-3.0.23.01.25-r0.apk
2024-10-25 18:59
47K
click-pyc-0.5.2-r4.apk
2025-02-22 14:38
175K
click-doc-0.5.2-r4.apk
2025-02-22 14:38
3.3K
click-dev-0.5.2-r4.apk
2025-02-22 14:38
9.1K
click-0.5.2-r4.apk
2025-02-22 14:38
157K
clevis-extra-pins-0_git20230629-r0.apk
2024-10-25 18:59
4.7K
clevis-doc-21-r0.apk
2025-01-20 04:17
23K
clevis-dbg-21-r0.apk
2025-01-20 04:17
62K
clevis-bash-completion-21-r0.apk
2025-01-20 04:17
2.0K
clevis-21-r0.apk
2025-01-20 04:17
51K
clementine-1.4.1_git20260109-r1.apk
2026-01-25 11:49
6.3M
clatd-2.1.0-r0.apk
2026-01-09 16:03
15K
ckb-next-dev-0.6.2-r1.apk
2025-09-06 16:20
4.9K
ckb-next-daemon-openrc-0.6.2-r1.apk
2025-09-06 16:20
1.8K
ckb-next-daemon-0.6.2-r1.apk
2025-09-06 16:20
69K
ckb-next-0.6.2-r1.apk
2025-09-06 16:20
1.3M
circuslinux-doc-1.0.3-r1.apk
2024-10-25 18:59
18K
circuslinux-data-1.0.3-r1.apk
2024-10-25 18:59
1.1M
circuslinux-1.0.3-r1.apk
2024-10-25 18:59
19K
cinny-web-4.10.2-r0.apk
2025-11-08 07:26
5.8M
cimg-3.4.1-r0.apk
2024-10-25 18:59
826K
cilium-cli-zsh-completion-0.16.13-r11.apk
2026-01-17 22:42
4.0K
cilium-cli-fish-completion-0.16.13-r11.apk
2026-01-17 22:42
4.3K
cilium-cli-bash-completion-0.16.13-r11.apk
2026-01-17 22:42
5.1K
cilium-cli-0.16.13-r11.apk
2026-01-17 22:42
56M
chocolate-doom-doc-3.1.1-r0.apk
2025-08-19 01:30
233K
chocolate-doom-3.1.1-r0.apk
2025-08-19 01:30
1.6M
chimerautils-dbg-15.0.3-r0.apk
2026-01-11 02:50
3.1M
chimerautils-15.0.3-r0.apk
2026-01-11 02:50
1.2M
chim-doc-1.1.2-r1.apk
2024-10-25 18:59
2.8K
chim-1.1.2-r1.apk
2024-10-25 18:59
1.7M
chess-tui-doc-2.0.0-r0.apk
2025-12-13 01:10
2.2K
chess-tui-2.0.0-r0.apk
2025-12-13 01:10
1.3M
cherrytree-lang-1.4.0-r0.apk
2025-03-26 17:40
859K
cherrytree-doc-1.4.0-r0.apk
2025-03-26 17:40
2.1K
cherrytree-1.4.0-r0.apk
2025-03-26 17:40
2.7M
checkpolicy-doc-3.6-r0.apk
2024-10-25 18:59
4.2K
checkpolicy-3.6-r0.apk
2024-10-25 18:59
368K
chasquid-openrc-1.17.0-r1.apk
2026-01-17 22:42
2.0K
chasquid-doc-1.17.0-r1.apk
2026-01-17 22:42
11K
chasquid-1.17.0-r1.apk
2026-01-17 22:42
12M
charta-0.8.2-r2.apk
2026-01-17 22:42
2.1M
charls-dev-2.4.2-r0.apk
2024-10-25 18:59
27K
charls-2.4.2-r0.apk
2024-10-25 18:59
64K
cgo-doc-0.6.1-r1.apk
2024-10-25 18:59
4.1K
cgo-0.6.1-r1.apk
2024-10-25 18:59
9.9K
cgiirc-0.5.12-r1.apk
2024-10-25 18:59
133K
cfssl-1.6.5-r11.apk
2026-01-17 22:42
31M
certstrap-1.3.0-r30.apk
2026-01-17 22:42
2.4M
certigo-1.16.0-r29.apk
2026-01-17 22:42
3.9M
certbot-dns-pdns-pyc-0.1.1-r1.apk
2025-08-28 01:20
3.9K
certbot-dns-pdns-0.1.1-r1.apk
2025-08-28 01:20
8.6K
certbot-dns-njalla-pyc-2.0.0-r0.apk
2024-11-27 23:05
4.2K
certbot-dns-njalla-2.0.0-r0.apk
2024-11-27 23:05
9.3K
certbot-dns-hetzner-pyc-2.0.1-r1.apk
2025-10-06 11:36
6.5K
certbot-dns-hetzner-2.0.1-r1.apk
2025-10-06 11:36
10K
cemu-lang-2.6-r0.apk
2025-09-25 05:44
432K
cemu-2.6-r0.apk
2025-09-25 05:44
20M
cdogs-sdl-2.3.2-r0.apk
2025-10-09 18:48
28M
cdist-pyc-7.0.0-r6.apk
2024-10-25 18:59
128K
cdist-7.0.0-r6.apk
2024-10-25 18:59
511K
cdba-server-1.0-r2.apk
2024-10-25 18:59
21K
cdba-1.0-r2.apk
2024-10-25 18:59
7.6K
ccze-doc-0.2.1-r1.apk
2024-10-25 18:59
8.8K
ccze-dev-0.2.1-r1.apk
2024-10-25 18:59
3.3K
ccze-0.2.1-r1.apk
2024-10-25 18:59
51K
ccrtp-doc-2.1.2-r0.apk
2024-10-25 18:59
31K
ccrtp-dev-2.1.2-r0.apk
2024-10-25 18:59
53K
ccrtp-2.1.2-r0.apk
2024-10-25 18:59
91K
cc65-2.19-r0.apk
2024-10-25 18:59
8.9M
cbqn-0.10.0-r0.apk
2025-11-30 12:22
686K
cava-0.10.7-r0.apk
2026-01-14 05:56
48K
catppuccin-whiskers-doc-2.5.1-r0.apk
2025-11-29 18:59
2.3K
catppuccin-whiskers-2.5.1-r0.apk
2025-11-29 18:59
1.6M
catfish-pyc-4.20.1-r0.apk
2025-07-07 19:17
104K
catfish-lang-4.20.1-r0.apk
2025-07-07 19:17
167K
catfish-doc-4.20.1-r0.apk
2025-07-07 19:17
13K
catfish-4.20.1-r0.apk
2025-07-07 19:17
128K
catdoc-doc-0.95-r1.apk
2024-10-25 18:59
9.2K
catdoc-0.95-r1.apk
2024-10-25 18:59
108K
cataclysm-dda-tiles-0h-r0.apk
2025-03-21 16:20
49M
cataclysm-dda-lang-0h-r0.apk
2025-03-21 16:20
38M
cataclysm-dda-doc-0h-r0.apk
2025-03-21 16:20
4.6K
cataclysm-dda-curses-0h-r0.apk
2025-03-21 16:20
12M
cataclysm-dda-0h-r0.apk
2025-03-21 16:20
19M
castor-0.9.0-r2.apk
2024-10-25 18:59
723K
castero-pyc-0.9.5-r4.apk
2025-05-14 18:16
94K
castero-0.9.5-r4.apk
2025-05-14 18:16
50K
cartero-lang-0.2.2-r0.apk
2025-10-13 00:00
45K
cartero-0.2.2-r0.apk
2025-10-13 00:00
1.1M
cariddi-1.4.5-r0.apk
2026-01-26 17:52
4.4M
cargo-vendor-filterer-0.5.18-r0.apk
2025-07-24 17:29
637K
cargo-update-doc-18.0.0-r0.apk
2025-10-28 07:26
8.5K
cargo-update-18.0.0-r0.apk
2025-10-28 07:26
1.1M
cargo-udeps-doc-0.1.60-r0.apk
2026-01-09 16:03
7.5K
cargo-udeps-0.1.60-r0.apk
2026-01-09 16:03
4.8M
cargo-shuttle-zsh-completion-0.56.6-r0.apk
2025-07-29 07:56
7.9K
cargo-shuttle-fish-completion-0.56.6-r0.apk
2025-07-29 07:56
9.0K
cargo-shuttle-doc-0.56.6-r0.apk
2025-07-29 07:56
9.0K
cargo-shuttle-bash-completion-0.56.6-r0.apk
2025-07-29 07:56
5.2K
cargo-shuttle-0.56.6-r0.apk
2025-07-29 07:56
5.0M
cargo-show-asm-doc-0.2.55-r0.apk
2026-01-23 10:54
10K
cargo-show-asm-0.2.55-r0.apk
2026-01-23 10:54
864K
cargo-run-bin-doc-1.7.2-r0.apk
2024-10-25 18:59
5.1K
cargo-run-bin-1.7.2-r0.apk
2024-10-25 18:59
442K
cargo-machete-doc-0.9.1-r0.apk
2025-08-17 23:52
4.4K
cargo-machete-0.9.1-r0.apk
2025-08-17 23:52
1.3M
cargo-leptos-doc-0.3.4-r0.apk
2026-01-25 18:58
2.2K
cargo-leptos-0.3.4-r0.apk
2026-01-25 18:58
8.2M
cargo-generate-0.23.5-r0.apk
2025-10-04 13:14
2.3M
cargo-geiger-doc-0.13.0-r0.apk
2025-10-10 17:31
7.7K
cargo-geiger-0.13.0-r0.apk
2025-10-10 17:31
5.1M
cargo-crev-0.26.3-r0.apk
2025-03-02 16:12
6.0M
care-doc-2.3.0-r1.apk
2024-10-25 18:59
7.9K
care-2.3.0-r1.apk
2024-10-25 18:59
95K
captive-browser-doc-0_git20210801-r3.apk
2026-01-17 22:42
3.7K
captive-browser-0_git20210801-r3.apk
2026-01-17 22:42
1.3M
capsudo-openrc-0.1.3-r0.apk
2026-01-25 10:16
3.3K
capsudo-doc-0.1.3-r0.apk
2026-01-25 10:16
8.4K
capsudo-dbg-0.1.3-r0.apk
2026-01-25 10:16
40K
capsudo-0.1.3-r0.apk
2026-01-25 10:16
14K
caps2esc-0.3.2-r0.apk
2024-10-25 18:59
4.4K
caprine-2.61.0-r0.apk
2026-01-08 15:48
16M
capnet-assist-lang-8.0.0-r0.apk
2025-04-14 10:10
37K
capnet-assist-8.0.0-r0.apk
2025-04-14 10:10
44K
candyboot-0.1.0-r0.apk
2025-06-11 12:34
23K
calibre-zsh-completion-8.15.0-r2.apk
2026-01-14 05:56
45K
calibre-pyc-8.15.0-r2.apk
2026-01-14 05:56
2.4K
calibre-doc-8.15.0-r2.apk
2026-01-14 05:56
1.9M
calibre-bash-completion-8.15.0-r2.apk
2026-01-14 05:56
5.3K
calibre-8.15.0-r2.apk
2026-01-14 05:56
41M
caja-gtkhash-plugin-1.5-r0.apk
2024-10-25 18:59
25K
caffeine-ng-lang-4.2.0-r1.apk
2024-10-25 18:59
34K
caffeine-ng-doc-4.2.0-r1.apk
2024-10-25 18:59
3.2K
caffeine-ng-4.2.0-r1.apk
2024-10-25 18:59
100K
cadence-0.9.2-r1.apk
2025-10-09 10:53
1.5M
bzmenu-0.2.1-r3.apk
2025-08-09 16:27
1.1M
bwrap-oci-doc-0.2-r1.apk
2024-10-25 18:59
2.5K
bwrap-oci-0.2-r1.apk
2024-10-25 18:59
16K
butane-0.25.1-r3.apk
2026-01-17 22:42
3.3M
burp-server-3.2.0-r0.apk
2026-01-18 02:41
36K
burp-doc-3.2.0-r0.apk
2026-01-18 02:41
96K
burp-3.2.0-r0.apk
2026-01-18 02:41
167K
bump2version-pyc-1.0.1-r6.apk
2024-10-25 18:59
29K
bump2version-1.0.1-r6.apk
2024-10-25 18:59
21K
buildcache-0.28.9-r0.apk
2024-10-25 18:59
798K
buildbot-www-4.3.0-r1.apk
2026-01-23 15:51
422K
buildbot-wsgi-dashboards-4.3.0-r1.apk
2026-01-23 15:51
426K
buildbot-worker-4.3.0-r1.apk
2026-01-23 15:51
191K
buildbot-waterfall-view-4.3.0-r1.apk
2026-01-23 15:51
34K
buildbot-pyc-4.3.0-r1.apk
2026-01-23 15:51
1.5M
buildbot-grid-view-4.3.0-r1.apk
2026-01-23 15:51
11K
buildbot-console-view-4.3.0-r1.apk
2026-01-23 15:51
23K
buildbot-badges-4.3.0-r1.apk
2026-01-23 15:51
9.3K
buildbot-4.3.0-r1.apk
2026-01-23 15:51
736K
buf-zsh-completion-1.59.0-r2.apk
2026-01-17 22:42
4.0K
buf-protoc-plugins-1.59.0-r2.apk
2026-01-17 22:42
15M
buf-fish-completion-1.59.0-r2.apk
2026-01-17 22:42
4.3K
buf-bash-completion-1.59.0-r2.apk
2026-01-17 22:42
8.6K
buf-1.59.0-r2.apk
2026-01-17 22:42
16M
budgie-session-lang-0.9.1-r0.apk
2025-10-16 17:07
307K
budgie-session-doc-0.9.1-r0.apk
2025-10-16 17:07
5.3K
budgie-session-0.9.1-r0.apk
2025-10-16 17:07
110K
budgie-screensaver-lang-5.1.0-r0.apk
2025-10-16 17:07
240K
budgie-screensaver-doc-5.1.0-r0.apk
2025-10-16 17:07
3.3K
budgie-screensaver-5.1.0-r0.apk
2025-10-16 17:07
73K
budgie-desktop-lang-10.9.2-r0.apk
2025-10-16 17:07
586K
budgie-desktop-doc-10.9.2-r0.apk
2025-10-16 17:07
5.6K
budgie-desktop-dev-10.9.2-r0.apk
2025-10-16 17:07
18K
budgie-desktop-10.9.2-r0.apk
2025-10-16 17:07
1.3M
budgie-control-center-lang-1.4.0-r0.apk
2025-10-16 17:07
3.9M
budgie-control-center-bash-completion-1.4.0-r0.apk
2025-10-16 17:07
2.3K
budgie-control-center-1.4.0-r0.apk
2025-10-16 17:07
3.0M
btpd-doc-0.16-r2.apk
2024-10-25 18:59
8.4K
btpd-0.16-r2.apk
2024-10-25 18:59
80K
btfs-doc-2.24-r12.apk
2024-10-25 18:59
2.4K
btfs-2.24-r12.apk
2024-10-25 18:59
29K
btcd-0.25.0-r1.apk
2026-01-17 22:42
16M
brial-dev-1.2.11-r4.apk
2024-10-25 18:59
1.6M
brial-1.2.11-r4.apk
2024-10-25 18:59
1.0M
boxes-doc-2.3.1-r0.apk
2024-10-25 18:59
7.1K
boxes-2.3.1-r0.apk
2024-10-25 18:59
77K
botan2-libs-2.19.5-r0.apk
2025-05-28 21:36
2.7M
botan2-doc-2.19.5-r0.apk
2025-05-28 21:36
306K
botan2-dev-2.19.5-r0.apk
2025-05-28 21:36
312K
botan2-2.19.5-r0.apk
2025-05-28 21:36
420K
boson-0_git20211219-r0.apk
2024-10-25 18:59
17K
bore-0.5.2-r0.apk
2024-12-15 19:29
535K
bootterm-dbg-0.5-r0.apk
2024-10-25 18:59
2.4K
bootterm-0.5-r0.apk
2024-10-25 18:59
18K
bootloose-0.7.1-r17.apk
2026-01-17 22:42
2.3M
bootinfo-pyc-0.1.0-r4.apk
2024-10-25 18:59
8.2K
bootinfo-0.1.0-r4.apk
2024-10-25 18:59
19K
bootchart2-systemd-0.14.9-r1.apk
2025-11-17 13:11
2.2K
bootchart2-0.14.9-r1.apk
2025-11-17 13:11
135K
boost1.89-wserialization-1.89.0-r1.apk
2026-01-17 22:42
63K
boost1.89-wave-1.89.0-r1.apk
2026-01-17 22:42
335K
boost1.89-url-1.89.0-r1.apk
2026-01-17 22:42
131K
boost1.89-unit_test_framework-1.89.0-r1.apk
2026-01-17 22:42
296K
boost1.89-type_erasure-1.89.0-r1.apk
2026-01-17 22:42
21K
boost1.89-timer-1.89.0-r1.apk
2026-01-17 22:42
7.9K
boost1.89-thread-1.89.0-r1.apk
2026-01-17 22:42
44K
boost1.89-static-1.89.0-r1.apk
2026-01-17 22:42
6.8M
boost1.89-serialization-1.89.0-r1.apk
2026-01-17 22:42
88K
boost1.89-regex-1.89.0-r1.apk
2026-01-17 22:42
159K
boost1.89-random-1.89.0-r1.apk
2026-01-17 22:42
14K
boost1.89-python3-1.89.0-r1.apk
2026-01-17 22:42
98K
boost1.89-program_options-1.89.0-r1.apk
2026-01-17 22:42
158K
boost1.89-process-1.89.0-r1.apk
2026-01-17 22:42
28K
boost1.89-prg_exec_monitor-1.89.0-r1.apk
2026-01-17 22:42
34K
boost1.89-nowide-1.89.0-r1.apk
2026-01-17 22:42
7.0K
boost1.89-log_setup-1.89.0-r1.apk
2026-01-17 22:42
339K
boost1.89-log-1.89.0-r1.apk
2026-01-17 22:42
323K
boost1.89-locale-1.89.0-r1.apk
2026-01-17 22:42
324K
boost1.89-libs-1.89.0-r1.apk
2026-01-17 22:42
651K
boost1.89-json-1.89.0-r1.apk
2026-01-17 22:42
160K
boost1.89-iostreams-1.89.0-r1.apk
2026-01-17 22:42
32K
boost1.89-graph-1.89.0-r1.apk
2026-01-17 22:42
173K
boost1.89-filesystem-1.89.0-r1.apk
2026-01-17 22:42
68K
boost1.89-fiber-1.89.0-r1.apk
2026-01-17 22:42
32K
boost1.89-doc-1.89.0-r1.apk
2026-01-17 22:42
2.4K
boost1.89-dev-1.89.0-r1.apk
2026-01-17 22:42
17M
boost1.89-date_time-1.89.0-r1.apk
2026-01-17 22:42
2.8K
boost1.89-coroutine-1.89.0-r1.apk
2026-01-17 22:42
6.6K
boost1.89-contract-1.89.0-r1.apk
2026-01-17 22:42
37K
boost1.89-context-1.89.0-r1.apk
2026-01-17 22:42
3.8K
boost1.89-container-1.89.0-r1.apk
2026-01-17 22:42
37K
boost1.89-chrono-1.89.0-r1.apk
2026-01-17 22:42
15K
boost1.89-atomic-1.89.0-r1.apk
2026-01-17 22:42
7.5K
boost1.89-1.89.0-r1.apk
2026-01-17 22:42
691K
bonzomatic-20230615-r0.apk
2024-10-25 18:59
628K
bomctl-zsh-completion-0.1.9-r12.apk
2026-01-17 22:42
4.1K
bomctl-fish-completion-0.1.9-r12.apk
2026-01-17 22:42
4.3K
bomctl-bash-completion-0.1.9-r12.apk
2026-01-17 22:42
5.1K
bomctl-0.1.9-r12.apk
2026-01-17 22:42
9.7M
boinc-screensaver-7.24.3-r0.apk
2024-10-25 18:59
124K
boinc-libs-7.24.3-r0.apk
2024-10-25 18:59
202K
boinc-lang-7.24.3-r0.apk
2024-10-25 18:59
877K
boinc-gui-7.24.3-r0.apk
2024-10-25 18:59
1.0M
boinc-doc-7.24.3-r0.apk
2024-10-25 18:59
8.0K
boinc-dev-7.24.3-r0.apk
2024-10-25 18:59
583K
boinc-7.24.3-r0.apk
2024-10-25 18:59
1.5M
bochs-doc-2.8-r1.apk
2025-02-22 14:38
139K
bochs-2.8-r1.apk
2025-02-22 14:38
920K
boa-cli-0.21-r0.apk
2025-10-24 20:45
7.5M
blip-doc-0.10-r0.apk
2024-10-25 18:59
32K
blip-0.10-r0.apk
2024-10-25 18:59
15K
blackbox-1.20220610-r1.apk
2024-10-25 18:59
16K
bkt-doc-0.8.0-r0.apk
2024-10-25 18:59
7.3K
bkt-0.8.0-r0.apk
2024-10-25 18:59
360K
bitritter-0.1.1-r0.apk
2024-10-25 18:59
2.3M
bitlbee-mastodon-1.4.5-r0.apk
2024-10-25 18:59
47K
bitlbee-facebook-1.2.2-r0.apk
2024-10-25 18:59
59K
birdtray-1.11.4-r0.apk
2025-12-12 17:03
435K
bionic_translation-dev-0_git20251125-r0.apk
2026-01-08 12:10
1.8K
bionic_translation-dbg-0_git20251125-r0.apk
2026-01-08 12:10
148K
bionic_translation-0_git20251125-r0.apk
2026-01-08 12:10
58K
biometryd-dev-0.3.3-r0.apk
2025-12-09 11:10
13K
biometryd-0.3.3-r0.apk
2025-12-09 11:10
312K
biome-2.3.12-r0.apk
2026-01-26 12:06
7.9M
binwalk-3.1.0-r0.apk
2025-02-07 15:46
1.0M
bindfs-doc-1.17.7-r1.apk
2025-06-19 08:45
9.1K
bindfs-1.17.7-r1.apk
2025-06-19 08:45
21K
biboumi-openrc-9.0-r9.apk
2025-10-06 11:28
1.9K
biboumi-doc-9.0-r9.apk
2025-10-06 11:28
1.5K
biboumi-9.0-r9.apk
2025-10-06 11:28
274K
bgs-doc-0.8-r1.apk
2024-10-25 18:59
2.3K
bgs-0.8-r1.apk
2024-10-25 18:59
5.7K
bgpq4-doc-1.15-r0.apk
2024-10-25 18:59
6.3K
bgpq4-1.15-r0.apk
2024-10-25 18:59
33K
bettercap-doc-2.41.5-r1.apk
2026-01-17 22:42
14K
bettercap-2.41.5-r1.apk
2026-01-17 22:42
20M
bestline-doc-0.0_git20211108-r0.apk
2024-10-25 18:59
18M
bestline-dev-0.0_git20211108-r0.apk
2024-10-25 18:59
1.7K
bestline-0.0_git20211108-r0.apk
2024-10-25 18:59
21K
berry-lang-1.1.0-r0.apk
2024-10-25 18:59
113K
belr-dev-5.3.105-r0.apk
2025-02-25 12:52
15K
belr-5.3.105-r0.apk
2025-02-25 12:52
107K
belle-sip-dev-5.3.105-r0.apk
2025-02-25 12:51
54K
belle-sip-5.3.105-r0.apk
2025-02-25 12:51
628K
belcard-libs-5.3.105-r0.apk
2025-02-25 12:48
207K
belcard-dev-5.3.105-r0.apk
2025-02-25 12:48
11K
belcard-5.3.105-r0.apk
2025-02-25 12:48
12K
bees-openrc-0.10-r2.apk
2024-10-25 18:59
1.9K
bees-0.10-r2.apk
2024-10-25 18:59
290K
beebzzr-doc-0_git20251214-r0.apk
2026-01-17 22:42
2.5K
beebzzr-0_git20251214-r0.apk
2026-01-17 22:42
5.1K
beard-doc-0.4-r0.apk
2024-10-25 18:59
2.5K
beard-0.4-r0.apk
2024-10-25 18:59
3.1K
beancount-language-server-1.4.1-r0.apk
2025-08-03 10:41
1.4M
bdfr-2.6.2-r1.apk
2024-10-25 18:59
131K
bchunk-doc-1.2.2-r3.apk
2024-10-25 18:59
3.0K
bchunk-1.2.2-r3.apk
2024-10-25 18:59
6.9K
bcg729-dev-1.1.1-r1.apk
2025-10-15 08:21
3.6K
bcg729-1.1.1-r1.apk
2025-10-15 08:21
32K
bazel8-bash-completion-8.4.2-r0.apk
2025-10-09 07:23
65K
bazel8-8.4.2-r0.apk
2025-10-09 07:23
33M
bazel7-bash-completion-7.7.1-r0.apk
2025-11-22 18:41
48K
bazel7-7.7.1-r0.apk
2025-11-22 18:41
30M
bazel6-bash-completion-6.5.0-r0.apk
2024-10-25 18:59
42K
bazel6-6.5.0-r0.apk
2024-10-25 18:59
30M
bazaar-systemd-0.7.2-r0.apk
2026-01-04 14:48
1.7K
bazaar-lang-0.7.2-r0.apk
2026-01-04 14:48
243K
bazaar-0.7.2-r0.apk
2026-01-04 14:48
502K
battery-limit-openrc-1-r0.apk
2025-06-17 10:22
1.8K
batmon-0.0.1-r0.apk
2024-10-25 18:59
439K
bat-extras-prettybat-2024.08.24-r0.apk
2025-10-12 20:47
5.6K
bat-extras-doc-2024.08.24-r0.apk
2025-10-12 20:47
16K
bat-extras-batwatch-2024.08.24-r0.apk
2025-10-12 20:47
5.8K
bat-extras-batpipe-2024.08.24-r0.apk
2025-10-12 20:47
7.0K
bat-extras-batman-2024.08.24-r0.apk
2025-10-12 20:47
4.7K
bat-extras-batgrep-2024.08.24-r0.apk
2025-10-12 20:47
7.3K
bat-extras-batdiff-2024.08.24-r0.apk
2025-10-12 20:47
5.4K
bat-extras-2024.08.24-r0.apk
2025-10-12 20:47
5.3K
bash-pinyin-completion-rs-doc-1.0.4-r0.apk
2026-01-20 14:21
14K
bash-pinyin-completion-rs-1.0.4-r0.apk
2026-01-20 14:21
327K
base64c-dev-0.2.1-r0.apk
2024-10-25 18:59
5.3K
base64c-0.2.1-r0.apk
2024-10-25 18:59
4.2K
bartib-1.0.1-r1.apk
2024-10-25 18:59
354K
barrier-doc-2.4.0-r2.apk
2025-02-22 14:38
13K
barrier-2.4.0-r2.apk
2025-02-22 14:38
939K
barnyard2-openrc-2.1.14_git20160413-r1.apk
2024-10-25 18:59
2.8K
barnyard2-2.1.14_git20160413-r1.apk
2024-10-25 18:59
125K
barman-pyc-3.17.0-r0.apk
2026-01-21 16:58
599K
barman-doc-3.17.0-r0.apk
2026-01-21 16:58
89K
barman-bash-completion-3.17.0-r0.apk
2026-01-21 16:58
1.6K
barman-3.17.0-r0.apk
2026-01-21 16:58
381K
baresip-dev-4.1.0-r1.apk
2026-01-24 12:59
16K
baresip-4.1.0-r1.apk
2026-01-24 12:59
1.0M
bakelite-0.4.2-r0.apk
2024-10-25 18:59
34K
bake-2.5.1-r0.apk
2024-10-25 18:59
109K
baikal-sqlite-0.10.1-r1.apk
2025-05-27 21:37
1.4K
baikal-pgsql-0.10.1-r1.apk
2025-05-27 21:37
1.3K
baikal-mysql-0.10.1-r1.apk
2025-05-27 21:37
1.3K
baikal-0.10.1-r1.apk
2025-05-27 21:37
1.3M
bacon-3.18.0-r0.apk
2025-09-30 17:37
1.8M
backup-manager-0.7.15-r1.apk
2024-10-25 18:59
55K
babashka-1.3.188-r0.apk
2024-10-25 18:59
23M
b2-tools-pyc-4.5.1-r0.apk
2026-01-27 15:00
139K
b2-tools-4.5.1-r0.apk
2026-01-27 15:00
74K
azure-iot-sdk-c-static-1.11.0-r0.apk
2024-10-25 18:59
780K
azpainter-doc-3.0.11-r0.apk
2025-02-22 15:08
42K
azpainter-3.0.11-r0.apk
2025-02-22 15:08
802K
azote-pyc-1.14.0-r0.apk
2024-12-14 21:22
98K
azote-1.14.0-r0.apk
2024-12-14 21:22
7.6M
aws-ecr-get-login-password-doc-1.0.0_rc2-r2.apk
2026-01-17 22:42
2.3K
aws-ecr-get-login-password-1.0.0_rc2-r2.apk
2026-01-17 22:42
3.3M
avra-dev-1.4.2-r0.apk
2024-10-25 18:59
255K
avra-1.4.2-r0.apk
2024-10-25 18:59
39K
avida-0_git20190813-r1.apk
2024-10-25 18:59
1.9M
avarice-doc-2.14-r4.apk
2024-10-25 18:59
9.4K
avarice-2.14-r4.apk
2024-10-25 18:59
65K
avara-0.7.1-r1.apk
2024-11-04 08:53
21M
avahi2dns-systemd-0.1.0-r9.apk
2026-01-20 02:09
1.8K
avahi2dns-openrc-0.1.0-r9.apk
2026-01-20 02:09
1.8K
avahi2dns-0.1.0-r9.apk
2026-01-20 02:09
2.6M
autotrash-pyc-0.4.7-r0.apk
2024-10-25 18:59
14K
autotrash-0.4.7-r0.apk
2024-10-25 18:59
23K
autoscan-openrc-1.4.0-r17.apk
2026-01-17 22:42
2.0K
autoscan-1.4.0-r17.apk
2026-01-17 22:42
5.6M
autorestic-1.8.3-r11.apk
2026-01-17 22:42
3.9M
autoremove-torrents-pyc-1.5.5-r0.apk
2024-10-25 18:59
54K
autoremove-torrents-doc-1.5.5-r0.apk
2024-10-25 18:59
12K
autoremove-torrents-1.5.5-r0.apk
2024-10-25 18:59
35K
autoconf-policy-0.1-r0.apk
2024-10-25 18:59
5.5K
authenticator-rs-lang-0.8.6-r0.apk
2025-09-01 18:29
4.1K
authenticator-rs-0.8.6-r0.apk
2025-09-01 18:29
2.2M
aufs-util-doc-20161219-r3.apk
2024-10-25 18:59
34K
aufs-util-dev-20161219-r3.apk
2024-10-25 18:59
1.5K
aufs-util-20161219-r3.apk
2024-10-25 18:59
176K
atool-doc-0.39.0-r4.apk
2024-10-25 18:59
9.6K
atool-bash-completion-0.39.0-r4.apk
2024-10-25 18:59
2.0K
atool-0.39.0-r4.apk
2024-10-25 18:59
18K
atomicparsley-20240608-r0.apk
2024-10-25 18:59
108K
atlantik-lang-3.5.10_git20240323-r0.apk
2024-10-25 18:59
69K
atlantik-doc-3.5.10_git20240323-r0.apk
2024-10-25 18:59
79K
atlantik-3.5.10_git20240323-r0.apk
2024-10-25 18:59
379K
atari800-doc-5.2.0-r0.apk
2024-10-25 18:59
56K
atari800-5.2.0-r0.apk
2024-10-25 18:59
339K
atac-0.18.1-r0.apk
2024-11-25 21:56
4.9M
aspell-es-1.11-r0.apk
2024-10-25 18:59
533K
asdf-doc-0.18.0-r6.apk
2026-01-17 22:42
2.2K
asdf-0.18.0-r6.apk
2026-01-17 22:42
1.7M
asciinema-rs-0.5.1-r3.apk
2024-10-25 18:59
1.1M
art_standalone-dev-0_git20251009-r1.apk
2026-01-14 05:56
8.6M
art_standalone-dbg-0_git20251009-r1.apk
2026-01-14 05:56
137M
art_standalone-0_git20251009-r1.apk
2026-01-14 05:56
19M
armagetronad-doc-0.2.9.1.1-r0.apk
2024-10-25 18:59
92K
armagetronad-0.2.9.1.1-r0.apk
2024-10-25 18:59
1.5M
arj-doc-0_git20220125-r1.apk
2024-10-25 18:59
10K
arj-0_git20220125-r1.apk
2024-10-25 18:59
127K
argocd-zsh-completion-3.2.5-r0.apk
2026-01-18 18:19
4.0K
argocd-doc-3.2.5-r0.apk
2026-01-18 18:19
5.5K
argocd-bash-completion-3.2.5-r0.apk
2026-01-18 18:19
22K
argocd-3.2.5-r0.apk
2026-01-18 18:19
44M
arduino-cli-1.3.1-r3.apk
2026-01-17 22:42
9.0M
arc-xfwm-20221218-r1.apk
2026-01-21 18:07
7.1K
arc-theme-20221218-r1.apk
2026-01-21 18:07
1.3K
arc-metacity-20221218-r1.apk
2026-01-21 18:07
17K
arc-lighter-xfwm-20221218-r1.apk
2026-01-21 18:07
7.1K
arc-lighter-metacity-20221218-r1.apk
2026-01-21 18:07
17K
arc-lighter-gtk4-20221218-r1.apk
2026-01-21 18:07
113K
arc-lighter-gtk3-20221218-r1.apk
2026-01-21 18:07
125K
arc-lighter-20221218-r1.apk
2026-01-21 18:07
1.7K
arc-icon-theme-20161122-r0.apk
2024-10-25 18:59
4.4M
arc-gtk4-20221218-r1.apk
2026-01-21 18:07
113K
arc-gtk3-20221218-r1.apk
2026-01-21 18:07
126K
arc-gnome-20221218-r1.apk
2026-01-21 18:07
28K
arc-darker-xfwm-20221218-r1.apk
2026-01-21 18:07
7.2K
arc-darker-metacity-20221218-r1.apk
2026-01-21 18:07
17K
arc-darker-gtk4-20221218-r1.apk
2026-01-21 18:07
110K
arc-darker-gtk3-20221218-r1.apk
2026-01-21 18:07
124K
arc-darker-20221218-r1.apk
2026-01-21 18:07
1.7K
arc-dark-xfwm-20221218-r1.apk
2026-01-21 18:07
7.2K
arc-dark-metacity-20221218-r1.apk
2026-01-21 18:07
17K
arc-dark-gtk4-20221218-r1.apk
2026-01-21 18:07
86K
arc-dark-gtk3-20221218-r1.apk
2026-01-21 18:07
93K
arc-dark-gnome-20221218-r1.apk
2026-01-21 18:07
26K
arc-dark-cinnamon-20221218-r1.apk
2026-01-21 18:07
67K
arc-dark-20221218-r1.apk
2026-01-21 18:07
1.7K
arc-cinnamon-20221218-r1.apk
2026-01-21 18:07
67K
arc-20221218-r1.apk
2026-01-21 18:07
1.7K
aravis-viewer-lang-0.8.31-r0.apk
2024-10-25 18:59
16K
aravis-viewer-0.8.31-r0.apk
2024-10-25 18:59
66K
aravis-libs-0.8.31-r0.apk
2024-10-25 18:59
175K
aravis-dev-0.8.31-r0.apk
2024-10-25 18:59
34K
aravis-0.8.31-r0.apk
2024-10-25 18:59
44K
arahli-doc-0.1.5-r0.apk
2026-01-27 15:10
2.7K
arahli-0.1.5-r0.apk
2026-01-27 15:10
1.1M
aqemu-doc-0.9.4-r3.apk
2024-10-25 18:59
7.5K
aqemu-0.9.4-r3.apk
2024-10-25 18:59
1.6M
apx-doc-3.0.1-r0.apk
2026-01-22 16:14
2.0K
apx-3.0.1-r0.apk
2026-01-22 16:14
2.3M
apulse-doc-0.1.14-r0.apk
2025-09-06 16:20
2.9K
apulse-0.1.14-r0.apk
2025-09-06 16:20
40K
aptdec-libs-1.8.0-r1.apk
2025-02-08 23:48
15K
aptdec-dev-1.8.0-r1.apk
2025-02-08 23:48
3.4K
aptdec-1.8.0-r1.apk
2025-02-08 23:48
85K
apt-swarm-zsh-completion-0.5.1-r1.apk
2025-10-12 13:42
5.9K
apt-swarm-openrc-0.5.1-r1.apk
2025-10-12 13:42
2.0K
apt-swarm-fish-completion-0.5.1-r1.apk
2025-10-12 13:42
5.7K
apt-swarm-bash-completion-0.5.1-r1.apk
2025-10-12 13:42
4.2K
apt-swarm-0.5.1-r1.apk
2025-10-12 13:42
3.0M
apt-mirror-doc-0.5.4-r0.apk
2024-10-25 18:59
4.6K
apt-mirror-0.5.4-r0.apk
2024-10-25 18:59
9.4K
apt-dater-lang-1.0.4-r4.apk
2024-10-25 18:59
13K
apt-dater-doc-1.0.4-r4.apk
2024-10-25 18:59
9.9K
apt-dater-1.0.4-r4.apk
2024-10-25 18:59
57K
aprilsh-server-0.7.12-r11.apk
2026-01-17 22:42
2.6M
aprilsh-openrc-0.7.12-r11.apk
2026-01-17 22:42
1.8K
aprilsh-doc-0.7.12-r11.apk
2026-01-17 22:42
14K
aprilsh-client-0.7.12-r11.apk
2026-01-17 22:42
3.4M
aprilsh-0.7.12-r11.apk
2026-01-17 22:42
1.6K
appimagetool-1.9.1-r0.apk
2026-01-09 16:03
37K
appcenter-lang-8.0.0-r0.apk
2024-11-12 21:55
258K
appcenter-8.0.0-r0.apk
2024-11-12 21:55
406K
apostrophe-revealjs-5.2.1-r0.apk
2025-07-03 10:58
2.4M
apostrophe-pyc-3.4-r0.apk
2025-09-30 09:36
153K
apostrophe-lang-3.4-r0.apk
2025-09-30 09:36
227K
apostrophe-3.4-r0.apk
2025-09-30 09:36
154K
aports-glmr-0.2-r34.apk
2026-01-17 22:42
2.7M
apmpkg-zsh-completion-1.5.1-r3.apk
2024-10-25 18:59
2.4K
apmpkg-fish-completion-1.5.1-r3.apk
2024-10-25 18:59
2.1K
apmpkg-doc-1.5.1-r3.apk
2024-10-25 18:59
3.0K
apmpkg-bash-completion-1.5.1-r3.apk
2024-10-25 18:59
2.2K
apmpkg-1.5.1-r3.apk
2024-10-25 18:59
1.6M
apk-snap-doc-3.1.1-r0.apk
2024-10-25 18:59
20K
apk-snap-3.1.1-r0.apk
2024-10-25 18:59
6.6K
apk-autoupdate-doc-0_git20210421-r1.apk
2024-11-17 12:51
7.0K
apk-autoupdate-0_git20210421-r1.apk
2024-11-17 12:51
13K
apache2-mod-realdoc-1-r1.apk
2024-10-25 18:59
4.6K
apache2-mod-perl-doc-2.0.13-r2.apk
2025-06-30 08:08
304K
apache2-mod-perl-dev-2.0.13-r2.apk
2025-06-30 08:08
38K
apache2-mod-perl-dbg-2.0.13-r2.apk
2025-06-30 08:08
62K
apache2-mod-perl-2.0.13-r2.apk
2025-06-30 08:08
657K
apache2-mod-maxminddb-1.2.0-r0.apk
2025-05-19 10:23
11K
apache2-mod-authnz-external-doc-3.3.3-r0.apk
2024-10-25 18:59
10K
apache2-mod-authnz-external-3.3.3-r0.apk
2024-10-25 18:59
8.2K
apache-mod-auth-openidc-static-2.4.16.11-r1.apk
2025-05-22 07:16
290K
apache-mod-auth-openidc-doc-2.4.16.11-r1.apk
2025-05-22 07:16
4.4K
apache-mod-auth-openidc-2.4.16.11-r1.apk
2025-05-22 07:16
223K
apache-mod-auth-gssapi-1.6.5-r1.apk
2024-10-25 18:59
60K
aoetools-doc-37-r2.apk
2025-01-14 15:29
14K
aoetools-37-r2.apk
2025-01-14 15:29
21K
antimicrox-doc-3.5.1-r0.apk
2025-06-13 13:55
24K
antimicrox-3.5.1-r0.apk
2025-06-13 13:55
1.6M
antibody-6.1.1-r34.apk
2026-01-17 22:42
1.9M
ansifilter-zsh-completion-2.22-r0.apk
2026-01-19 17:09
2.5K
ansifilter-fish-completion-2.22-r0.apk
2026-01-19 17:09
2.5K
ansifilter-doc-2.22-r0.apk
2026-01-19 17:09
22K
ansifilter-bash-completion-2.22-r0.apk
2026-01-19 17:09
2.2K
ansifilter-2.22-r0.apk
2026-01-19 17:09
65K
ansible-bender-pyc-0.10.1-r2.apk
2024-10-25 18:59
65K
ansible-bender-doc-0.10.1-r2.apk
2024-10-25 18:59
10K
ansible-bender-0.10.1-r2.apk
2024-10-25 18:59
36K
angband-4.2.5-r0.apk
2024-10-25 18:59
23M
android-translation-layer-dbg-0_git20260106-r0.apk
2026-01-08 12:10
902K
android-translation-layer-0_git20260106-r0.apk
2026-01-08 12:10
2.8M
android-file-transfer-libs-4.5-r0.apk
2025-06-24 23:02
129K
android-file-transfer-dev-4.5-r0.apk
2025-06-24 23:02
1.6K
android-file-transfer-cli-4.5-r0.apk
2025-06-24 23:02
111K
android-file-transfer-4.5-r0.apk
2025-06-24 23:02
194K
android-build-tools-15.0-r1.apk
2025-12-11 22:04
1.8M
android-apktool-2.12.1-r0.apk
2025-10-14 14:53
24M
android-apkeep-0.17.0-r0.apk
2024-10-25 18:59
2.0M
anari-sdk-static-0.7.2-r0.apk
2024-10-25 18:59
181K
anari-sdk-dev-0.7.2-r0.apk
2024-10-25 18:59
59K
anari-sdk-0.7.2-r0.apk
2024-10-25 18:59
289K
anarch-doc-1.0-r1.apk
2024-10-25 18:59
18K
anarch-1.0-r1.apk
2024-10-25 18:59
92K
amule-lang-2.3.3-r13.apk
2024-10-25 18:59
1.6M
amule-doc-2.3.3-r13.apk
2024-10-25 18:59
281K
amule-2.3.3-r13.apk
2024-10-25 18:59
3.9M
ampy-pyc-1.1.0-r6.apk
2025-03-21 16:20
19K
ampy-doc-1.1.0-r6.apk
2025-03-21 16:20
4.1K
ampy-1.1.0-r6.apk
2025-03-21 16:20
15K
amiitool-2-r2.apk
2024-10-25 18:59
7.8K
amdgpu_top-doc-0.11.0-r0.apk
2025-09-03 00:47
4.0K
amdgpu_top-0.11.0-r0.apk
2025-09-03 00:47
6.8M
amdgpu-fan-pyc-0.1.0-r5.apk
2024-10-25 18:59
9.6K
amdgpu-fan-0.1.0-r5.apk
2024-10-25 18:59
14K
amber-mpris-dev-1.2.9-r0.apk
2024-12-22 16:47
6.7K
amber-mpris-1.2.9-r0.apk
2024-12-22 16:47
211K
amber-0.5.1_alpha-r0.apk
2026-01-08 15:48
892K
alttab-doc-1.7.1-r0.apk
2024-10-25 18:59
10K
alttab-1.7.1-r0.apk
2024-10-25 18:59
37K
alps-openrc-0_git20230807-r18.apk
2026-01-17 22:42
2.0K
alps-0_git20230807-r18.apk
2026-01-17 22:42
5.8M
alertmanager-irc-relay-openrc-0.5.1-r1.apk
2025-09-06 16:20
2.0K
alertmanager-irc-relay-0.5.1-r1.apk
2025-09-06 16:20
5.0M
alda-2.3.2-r6.apk
2026-01-17 22:42
18M
alacritty-graphics-zsh-completion-0.16.1-r0.apk
2025-12-18 00:02
3.4K
alacritty-graphics-fish-completion-0.16.1-r0.apk
2025-12-18 00:02
3.1K
alacritty-graphics-doc-0.16.1-r0.apk
2025-12-18 00:02
41K
alacritty-graphics-bash-completion-0.16.1-r0.apk
2025-12-18 00:02
2.8K
alacritty-graphics-0.16.1-r0.apk
2025-12-18 00:02
2.1M
airsonic-advanced-openrc-11.0.0_git20230217-r0.apk
2024-10-25 18:59
1.8K
airsonic-advanced-11.0.0_git20230217-r0.apk
2024-10-25 18:59
91M
aide-doc-0.19.2-r0.apk
2025-09-06 16:20
16K
aide-0.19.2-r0.apk
2025-09-06 16:20
87K
agrep-doc-0.8.0-r2.apk
2024-10-25 18:59
4.1K
agrep-0.8.0-r2.apk
2024-10-25 18:59
8.3K
aggregate6-pyc-1.0.14-r0.apk
2025-10-14 11:05
5.8K
aggregate6-doc-1.0.14-r0.apk
2025-10-14 11:05
2.9K
aggregate6-1.0.14-r0.apk
2025-10-14 11:05
7.3K
agate-openrc-3.3.19-r0.apk
2025-09-23 22:32
2.0K
agate-3.3.19-r0.apk
2025-09-23 22:32
907K
afew-doc-3.0.1-r0.apk
2025-05-18 22:30
12K
afew-3.0.1-r0.apk
2025-05-18 22:30
73K
afetch-doc-2.2.0-r1.apk
2024-10-25 18:59
14K
afetch-2.2.0-r1.apk
2024-10-25 18:59
9.0K
advancescan-doc-1.18-r1.apk
2024-10-25 18:59
7.3K
advancescan-1.18-r1.apk
2024-10-25 18:59
268K
advancemame-mess-3.9-r4.apk
2024-10-25 18:59
3.9M
advancemame-menu-3.9-r4.apk
2024-10-25 18:59
903K
advancemame-doc-3.9-r4.apk
2024-10-25 18:59
374K
advancemame-data-3.9-r4.apk
2024-10-25 18:59
5.8M
advancemame-3.9-r4.apk
2024-10-25 18:59
12M
admesh-doc-0.98.5-r0.apk
2024-10-25 18:59
23K
admesh-dev-0.98.5-r0.apk
2024-10-25 18:59
4.0K
admesh-0.98.5-r0.apk
2024-10-25 18:59
26K
adjtimex-doc-1.29-r0.apk
2024-10-25 18:59
7.1K
adjtimex-1.29-r0.apk
2024-10-25 18:59
19K
adguardhome-openrc-0.107.71-r1.apk
2026-01-17 22:42
2.2K
adguardhome-0.107.71-r1.apk
2026-01-17 22:42
12M
adbd-0_git20251009-r1.apk
2026-01-14 05:56
42K
acmetool-doc-0.2.2-r19.apk
2026-01-17 22:42
47K
acmetool-0.2.2-r19.apk
2026-01-17 22:42
4.7M
acmeleaf-doc-0.2.2-r0.apk
2026-01-27 13:30
5.3K
acmeleaf-0.2.2-r0.apk
2026-01-27 13:30
5.3M
ace-of-penguins-doc-1.4-r3.apk
2024-10-25 18:59
49K
ace-of-penguins-1.4-r3.apk
2024-10-25 18:59
159K
abnfgen-doc-0.21-r0.apk
2025-05-27 21:26
5.1K
abnfgen-0.21-r0.apk
2025-05-27 21:26
18K
abc-0_git20240102-r0.apk
2024-10-25 18:59
4.9M
ab-tidy-0.1.0-r2.apk
2025-02-23 20:55
80K
a2jmidid-doc-9-r3.apk
2024-10-25 18:59
4.2K
a2jmidid-9-r3.apk
2024-10-25 18:59
29K
APKINDEX.tar.gz
2026-01-27 19:26
724K
81voltd-systemd-1.1.0-r0.apk
2026-01-11 18:32
1.7K
81voltd-openrc-1.1.0-r0.apk
2026-01-11 18:32
1.7K
81voltd-doc-1.1.0-r0.apk
2026-01-11 18:32
2.2K
81voltd-1.1.0-r0.apk
2026-01-11 18:32
12K
66-tools-nsrules-0.1.2.0-r0.apk
2025-06-02 03:03
2.9K
66-tools-doc-0.1.2.0-r0.apk
2025-06-02 03:03
39K
66-tools-dev-0.1.2.0-r0.apk
2025-06-02 03:03
2.0K
66-tools-dbg-0.1.2.0-r0.apk
2025-06-02 03:03
107K
66-tools-0.1.2.0-r0.apk
2025-06-02 03:03
55K
66-init-0.8.2.1-r0.apk
2025-06-03 19:11
1.5K
66-doc-0.8.2.1-r0.apk
2025-06-03 19:11
190K
66-dev-0.8.2.1-r0.apk
2025-06-03 19:11
1.9M
66-dbg-0.8.2.1-r0.apk
2025-06-03 19:11
757K
66-0.8.2.1-r0.apk
2025-06-03 19:11
293K
9base-troff-6-r2.apk
2024-10-25 18:59
485K
9base-doc-6-r2.apk
2024-10-25 18:59
64K
9base-6-r2.apk
2024-10-25 18:59
1.8M
3proxy-systemd-0.9.5-r1.apk
2025-11-17 13:11
1.8K
3proxy-openrc-0.9.5-r1.apk
2025-11-17 13:11
1.7K
3proxy-doc-0.9.5-r1.apk
2025-11-17 13:11
26K
3proxy-0.9.5-r1.apk
2025-11-17 13:11
383K